Buscar

Considere o seguinte código VHDL: library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity circuito is port( A,B,Clk : in std_logic; Q :...

Considere o seguinte código VHDL: library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity circuito is port( A,B,Clk : in std_logic; Q : out std_logic ); end circuito; architecture Behavioral of circuito is signal temp: std_logic; begin process (Clk) begin if rising_edge(Clk) then if ( A = '0' and B = '1' ) then temp <= '0'; elsif ( A = '1' and B = '0' ) then temp <= '1'; elsif ( A = '1' and B = '1' ) then temp <= not (temp); end if; end if; end process; Q <= temp; end Behavioral; Este código VHDL implementa qual componente? a. Flip-Flop D. b. Latch SR. c. Latch D. d. Flip-Flop JK. e. Flip-Flop SR.

Respostas

User badge image

Ed Verified user icon

Vamos analisar as opções: a. Flip-Flop D: Um Flip-Flop D é sensível à borda de subida do clock e armazena o valor de entrada D. No código fornecido, o comportamento não é de um Flip-Flop D, pois a saída depende das entradas A e B, não apenas de uma entrada D. Portanto, a opção a está incorreta. b. Latch SR: Um Latch SR é um tipo de memória que pode armazenar um bit de informação. No entanto, no código fornecido, não há indicação de um comportamento típico de um Latch SR, como a presença de sinais de habilitação (set e reset). Assim, a opção b está incorreta. c. Latch D: Um Latch D é sensível à borda de subida do clock e armazena o valor de entrada D. No entanto, no código fornecido, o comportamento não é de um Latch D, pois a saída depende das entradas A e B, não apenas de uma entrada D. Portanto, a opção c está incorreta. d. Flip-Flop JK: Um Flip-Flop JK é sensível à borda de subida do clock e tem duas entradas J e K que determinam o comportamento do flip-flop. No código fornecido, a saída depende das entradas A e B, não de J e K, então a opção d está incorreta. e. Flip-Flop SR: Um Flip-Flop SR é sensível à borda de subida do clock e tem duas entradas S (Set) e R (Reset) que determinam o comportamento do flip-flop. No código fornecido, as condições de controle se assemelham mais a um Flip-Flop SR, onde as entradas A e B controlam a mudança de estado da saída. Portanto, a opção correta é e.

0
Dislike0

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Responda

SetasNegritoItálicoSublinhadoTachadoCitaçãoCódigoLista numeradaLista com marcadoresSubscritoSobrescritoDiminuir recuoAumentar recuoCor da fonteCor de fundoAlinhamentoLimparInserir linkImagemFórmula

Para escrever sua resposta aqui, entre ou crie uma conta

User badge image

Mais conteúdos dessa disciplina