Buscar

ALT-02-6 Aula Conceitos Lógicos de Memória

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes
Você viu 3, do total de 26 páginas

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes
Você viu 6, do total de 26 páginas

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes
Você viu 9, do total de 26 páginas

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Prévia do material em texto

1 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
2 
 
 
 
 
Conceitos Lógicos Memória .......................................................... 3 
Memória ................................................................................. 3 
Vista geral sobre memória ........................................................ 3 
Tipos de memória .................................................................. 19 
Unidades Estruturais .............................................................. 20 
Bibliografia ........................................................................... 26 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
3 
 
 
Conceitos Lógicos Memória 
Aula 06 
 
Memória 
 
Agora que você está ciente a respeito dos três 
principais componentes de um controlador 
programável é o momento de aprender mais 
sobre a memória do sistema. 
Saber sobre isso irá ajudar você a compreender 
como o sistema interage com os cartões de I/O. 
Neste capítulo, serão discutidos os tipos 
diferentes de memória, incluindo a estrutura de 
memória e as capacidades. 
Então, será explorado o relacionamento entre a 
organização da memória e a interação do I/O. 
Finalmente, será explicado como configurar a 
memória do PLC para o endereçamento do I/O. 
 
Vista geral sobre memória 
A caraterística mais importante de um controlador programável 
é a habilidade do usuário de mudar rapidamente e facilmente o 
programa de controle. 
A arquitetura do CLP torna esta caraterística de programação 
possível. 
O sistema de memória é a área no processador central do CLP 
onde todas as sequências de instruções, ou os programas, são 
armazenados e executados pelo processador para fornecer o controle 
desejado de dispositivos no campo. 
4 
 
 
As seções da memória que contêm os programas de verificação 
podem ser mudadas ou reprogramadas, para adaptar-se às 
mudanças nas linhas de produção. 
Memória são dispositivos que armazenam grandes quantidades 
de dados, em aulas anteriores já foi ensinado como armazenar um bit 
no flip-flop e vários bits em registradores, lembrando que com o 
avanço da tecnologia de semicondutores é possível armazenar 
grandes quantidades de dados em um único circuito. 
Na Figura 1 segue um desenho que mostra o uso de memórias 
em computadores. 
Figura 1 – Uso de memórias em um computador 
 
 
Há o processador central do computador, CPU, que acessa uma 
memória principal necessária para as operações de acesso à leitura 
escrita. Essas são memórias do tipo RAM e ROM e eventualmente se 
essa memória não é suficiente pode ser usado um armazenamento 
auxiliar de massa, que são dispositivos magnéticos ou ópticos que 
tem uma operação mais lenta. 
5 
 
 
Veja alguns termos usados para analisar e classificar as 
memórias: 
 Uma célula de memória é um dispositivo que armazena 1 (um) 
bit. 
 Um byte de memória são 8 bits. 
 Uma palavra de memória é um grupo de bits que representa 
instruções ou dados, e que são escritos e lidos 
simultaneamente. 
Isso depende da arquitetura do computador que está sendo 
usado, normalmente eles são múltiplos da base 2, 8, 16, 32 ou 64 
bits; a capacidade de memória é o número de palavras ou bit que 
podem ser armazenados num mesmo circuito, novamente eles são 
múltiplos de 2 elevado a 10 ( 210) , conforme a Figura 2. 
Figura 2 - Terminologias de Memórias 
 
 
Com a tecnologia usada para a construção de memórias, é 
possível observar: 
 
Tecnologia semicondutor: 
 Transistores bipolares. 
 Transistores MOS. 
 Não semicondutores: 
 Magnético - ferrite, fita, discos rígidos ou flexíveis. 
6 
 
 
 Tecnologias ópticas - CD, DVD e Blu-ray (BD). 
 
Memórias podem ter acesso sequencial, direto ou aleatório, 
mas o que significa ter um acesso sequencial? 
Imagine que você gostaria de ir para um apartamento e que o 
ele ficasse no quinto andar de um prédio; você teria que ir do térreo 
e subir até o quinto andar. Não é possível ir diretamente para o 
quinto andar, se por outro lado você tivesse um condomínio 
horizontal de casas você poderia ir em qualquer casa que você 
quisesse sem passar pelas outras. 
 
Essa é a metáfora que pode ser usada no processo: 
 Sequencial. 
 Direto ou Aleatório. 
 
No acesso sequencial a leitura e escrita numa posição requer 
que ocorra uma passagem por posições anteriores, por exemplo, uma 
fita magnética. 
Enquanto que no acesso direto ou aleatório, que constitui a 
maior parte das memórias, é possível ter acesso ao mesmo tempo a 
todas as posições da memória. 
As memórias podem ser classificadas em memórias apenas de 
leitura ou de leitura e escrita. Normalmente as memórias apenas 
leitura são chamados ROM (Read-only-Memory). 
As memórias de escrita e leitura são as RAM (Random Access 
Memory) cujo conteúdo pode ser lido e armazenado durante operação 
normal do circuito. 
Na Figura 3 é possível visualizar as características referentes ao 
acesso de memórias. 
 
7 
 
 
Figura 3 – Características referentes ao acesso de memórias 
 
 
Memórias podem ainda ser voláteis ou não voláteis. 
Para explicar o que é uma memória volátil considere o seguinte 
exemplo; imagine que ocorra um interrompimento no fornecimento 
de energia elétrica, todo o conteúdo que está armazenado na 
memória é perdido. Dessa forma pode-se afirmar que esse tipo de 
memória perde o conteúdo quando perde a alimentação. 
 Já as memórias não voláteis não perdem o conteúdo mesmo 
sem alimentação. Neste caso, você pode levar em consideração o seu 
computador, quando ele é ligado a memória não volátil entra em 
ação através do carregamento do sistema operacional desde o início. 
Em termos de forma de armazenamento interno de memória, 
existem as memórias estáticas e memórias dinâmicas. 
 
 
 
 
 
 
 
8 
 
 
Figura 4 – Formas de armazenamento interno de memória 
 
 
Memórias estáticas são mais velozes, a célula básica neste caso 
é um flip-flop. Agora nas memórias dinâmicas a velocidade é um 
pouco mais moderada, a célula básica é um capacitor; pelo fato que o 
capacitor pode perder um pouco da carga e descarregar durante o 
tempo, ele precisa realizar um reforço periódico, isso é chamado de 
refrescamento ou “Refresh”, existe uma classe de memória chamada 
de pseudo-estática na qual este refrescamento é feito internamente 
no próprio circuito do “CI”. 
Abaixo, na Figura 5, é possível observar a conexão entre a CPU 
e a memória. 
Há uma unidade central de processamento e várias outras 
memórias, que podem existir em um computador; essa interface 
pode ser feita através de três vias ou barramentos, pode ser 
chamada de via de endereços, via de dados ou via de controle. 
Para explicar através de uma metáfora imagine que no seu 
quarto tenha vários armários com diversas gavetas e você queira 
guardar um objeto em uma dessas gavetas. Supondo que você diga 
“Eu quero pegar um objeto que está na 3° gaveta, do 2° armário, do 
lado direito”. 
9 
 
 
Você está oferecendo um endereço, ao dizer que o que procura 
está na “3° gaveta, do 2° armário, do lado direito”. 
Também está sendo indicado um controle “eu quero pegar”. 
 
Figura 5 – Conexão entre a CPU e a memória 
 
 
Digamos que essa via de dados, que está na memória “no caso 
dessa metáfora seria, objeto”. 
Se você deseja guardar os objetos, vai ter que informar onde, 
para isso vai usar um comando, “eu quero guardar”, e na via de 
dados você vai informar o tipo do objeto que quer guardar naquele 
armário, por exemplo uma camiseta. 
No caso de memórias, há várias posições onde são guardadas 
as palavras, é preciso especificar qual endereço onde estão essas 
posições e dizer que operação você deseja fazer, ler ou escrever, 
nessa via de dados. A memória então retorna o resultado, ou seja, se 
você quiser ler, será retornado o dado lido dessa posição; caso você 
deseje escrever,é preciso colocar o dado que você precisa escrever 
nessa posição de memória. 
10 
 
 
Você pode se perguntar, mas como é que eu posso ligar 
várias memórias nessa via de dado? Eu posso, por exemplo, 
ligar os mesmos sinais elétricos aqui? 
A resposta é a seguinte, na tecnologia de sistemas digitais 
existem circuitos chamados de Tristate. 
 
Figura 6 – Tristate buffer 
 
Os circuitos acima permitem que sejam ligados vários sinais em 
uma linha comum chamada de barramento. Pode ser habilitada uma 
saída por vez, e as saídas desabilitadas vão apresentar um estado de 
alta impedância. Do ponto de vista elétrico isso vai reapresentar uma 
saída aberta, ou seja, ela não está ligada, isso pode ser visto na 
Figura 7, com um esquema de uma saída tristate. 
 
Figura 7 –Saída tristate buffer 
 
11 
 
 
Como você pode perceber há uma entrada, uma saída e um 
sinal de controle. Quando o controle for 0(zero), a saída será de alta 
impedância; quando o controle for 1(um) a saída irá receber a 
entrada. 
Assim é possível ter três sinais ligados no mesmo barramento, 
sendo que apenas um deles está ativo, os outros dois estão 
desabilitados. Portanto é possível fazer a ligação elétrica entre os 
sinais. 
Do ponto de vista de arquitetura interna uma memória funciona 
da seguinte maneira, ela tem as células que guardam os valores 
armazenados e um decodificador de endereço, para tentar descobrir 
qual endereço se deseja guardar ou ler um valor, é necessário seguir 
o esquema da Figura 8. 
Figura 8 – Arquitetura interna 
 
 
Como visto anteriormente a memória faz a interface entre a 
CPU como a via de endereços, ou uma via de dados, e com sinais de 
controle. 
Agora você verá como a memória é reorganizada internamente. 
Imagine que você tem uma memória com 16 posições de um bit, 
assim ela teria 16 endereços diferentes. Porém, a via de acesso que 
você possui é de 4 bits. 
12 
 
 
O questionamento é como seria possível dispor essas células de 
forma que seja fácil acessá-las. Para isso você pode imaginar que 
possui 16 linhas diferentes, ou duas colunas com oito linhas cada, ou 
quatro colunas com quatro linhas. Em todos os casos descritos é 
possível armazenar as 16 células de memória. 
Normalmente a memória é organizada internamente em 
colunas e linhas, na Figura 9 há um exemplo dessa estrutura. 
Nela é possível ver que há quatro bits de endereços 0100 e que 
internamente a memória está organizada em células de quatro por 
quatro, ou seja internamente existem dois decodificadores. 
 Um para decodificar a linha. 
 Um para decodificar a coluna. 
 
Figura 9 –Arquitetura interna de memória 
 
 
 
 
 
 
 
 
13 
 
 
Figura 10 – Características da memória ROM 
 
 
A memória apenas de leitura recebe o nome de memória ROM. 
Ela é programada, geralmente, de fábrica numa máscara. Imagine 
que o fabricante de um PC precisa inserir um programa para fazer 
com que a máquina seja ligada, essa programação é feita pelo ele 
durante o processo de deposição da máscara de metalização por isso 
é chamado programável por máscara. 
Figura 11 – Características da memória PROM 
 
 
Na memória PROM a ideia é um pouco diferente. Neste caso, 
não será o fabricante que faz a programação da memória e sim o 
usuário, porém ele pode fazer isso somente uma única vez. 
Imagine que a sua matriz de células possui fusíveis que podem 
ser queimados ou não, para armazenar respectivamente 0 e 1 nas 
14 
 
 
células. O tempo de programação é em torno de 2 minutos e isso 
pode ser usado em produtos com escala menor que a apresentada 
pela memória ROM. 
Existe também a memória EPROM, que na realidade é uma 
memória PROM que pode ser apagada. Na Figura 12 é possível 
visualizar como essa memória pode ser usada. Este tipo de memória 
é programada pelo usuário e possui uma janela de irradiação de raios 
ultravioletas, que possibilita que a memória seja programada e 
reprogramada, lembrando que há um limite de vezes que esse tipo 
de memória pode passar por esse processo. 
 
Figura 12 – Características da memória EPROM 
 
 
Outras classes de memórias, apenas de leitura, são as EEPROM 
e Flash-Memory. 
A EEPROM (ou 2PROM) é um pouco diferente da EPROM, ela 
pode ser apagada por pulsos elétricos no próprio circuito, sendo 
possível apagar um único byte ou um bit. 
A memória Flash EPROM é um tipo de 2PROM que pode ser 
apagada por pulsos no próprio circuito, a memória pode ser apagada 
em blocos. 
15 
 
 
Figura 13 – Características das memórias EEPROM E Flash- Memory 
 
 
A memória RAM, ou “Random Access Memory”, é bastante 
importante em circuitos digitais de computadores, trata-se de uma 
memória de leitura e escrita ao mesmo tempo. 
As células básicas têm um bit e os dados são preservados, 
desde que sejam alimentadas. Essa memória é usada para armazenar 
temporariamente os dados, como por exemplo, quando está sendo 
rodado um programa e são carregadas as instruções realizadas pelo 
computador. 
Figura 14 – Características da memória RAM 
 
 
Existem dois tipos de memória RAM, a estática e a dinâmica. 
16 
 
 
 
 
 
Tabela 1 – Comparação RAM dinâmica e RAM Estática 
 
 
Na Figura 15 você pode observar um diagrama de tempos de 
um ciclo de leitura de uma memória. Esse diagrama de tempos serve 
apenas para mostrar a relação entre esses sinais. 
 
 
17 
 
 
Figura 15 – Diagrama de tempo de um ciclo de leitura de uma memória 
 
 
Figura 16 – Características da associação de memórias 
 
 
Seções da memória 
O sistema de memória total em um CLP é composto por duas 
memórias diferentes (veja a Figura 21): 
 A memória executiva. 
18 
 
 
 A memória da aplicação. 
 
Figura 21 - Diagrama de bloco simplificado do sistema de memória total do 
PLC 
 
 
A memória executiva é uma coleção dos programas 
armazenados permanentemente no CLP. 
Estes programas supervisórios dirigem todas as atividades de 
sistema, tais como a execução do programa e a comunicação do 
controle com os dispositivos periféricos. 
É na memória onde as instruções do software ficam disponíveis 
(isto é, instruções do relé, funções de transferência de bits, 
instruções matemáticas, etc.). Esta área da memória não é acessível 
ao usuário. 
A memória da aplicação fornece uma área de armazenamento 
para o usuário do programa 
A área de memória da aplicação é composta de diversas áreas, 
cada uma tem uma função específica e um uso. 
 
19 
 
 
Tipos de memória 
As exigências do armazenamento e da recuperação para as 
seções de execução de um programa na memória não são as 
mesmas; consequentemente, não são armazenados sempre no 
mesmo tipo de memória. 
Por exemplo a execução exige uma memória que armazene 
permanentemente seus índices e não possa ser apagada ou alterada 
pela perda de corrente elétrica ou pelo usuário. Este tipo de memória 
é frequentemente inoportuno para o programa de aplicação. 
A memória pode ser separada em duas categorias: temporária 
e permanente. 
A memória temporária perde seus índices programados se o 
CLP é desenergizado ou removido. 
A memória temporária é alterada facilmente e isso é 
completamente apropriado para a maioria de aplicações, quando a 
memória é mantida pelo apoio de bateria e possivelmente por uma 
cópia do programa. 
A memória permanente retém seus índices programados, 
mesmo durante uma perda de alimentação durante o funcionamento, 
sem exigir uma fonte alternativa. 
A memória permanente é geralmente inalterada, contudo há os 
tipos especiais da memória permanente que são alteráveis. 
Nos CLPs de hoje usam a memória permanente, mais também 
existem aqueles que usam a memória temporária com apoio de 
bateria, assim como aqueles que oferecem ambas as possibilidades. 
 
PROM (Programmable Read Only Memory) 
Memoria PROM. Programmable Read Only Memory 
(memória programável somente para leitura) é uma memória 
ROM não previamenteprogramada. A programação pode ser feita 
20 
 
 
através de um equipamento especial de programação chamado 
programador de PROM. 
 
EPROM (erasable programmable read-only memory) 
Uma EPROM (sigla do inglês "erasable programmable read-only 
memory", em português "memória programável apagável somente de 
leitura") é um tipo de chip de memória de computador que mantém 
seus dados quando a energia é desligada. Em outras palavras, é não-
volátil. 
 
EAROM (Electrically alterable read-only memory) 
EAROM é similar à EPROM, mas em vez de exigir uma fonte 
luminosa ultravioleta para apagá-la, utiliza uma tensão no pino 
apropriado para limpar. Poucos controladores usam EAROM como a 
memória da aplicação, a mais usada é a EPROM. 
 
Unidades Estruturais 
As memórias do CLP podem ser pensadas como grandes pilhas 
de armazenamento onde cada uma armazena um único fragmento de 
informação sob a forma de 1 ou de 0 (formato de numeração 
binário). Desde que cada pilha pode armazenar somente um 
elemento binário e o bit é o acrônimo para “o elemento binário,” cada 
pilha é chamada um bit. 
Um bit, então, é a menor unidade de memória estrutural. 
Embora cada bit armazene a informação como um 1 ou um 0, as 
pilhas de memória não contêm realmente os números 1 e 0 por si 
mesmo. Na verdade, elas possuem as cargas da tensão do uso das 
pilhas para representar 1 e 0, a presença de uma carga da tensão 
representa um 1, a ausência de uma carga representa um 0. 
21 
 
 
Um bit é considerado como LIGADO se a informação 
armazenada é 1 (tensão atual) e DESLIGADO se a informação 
armazenada é 0 (tensão ausente). A informação LIGAR/DESLIGAR 
armazenada em um único bit é referida como o status do bit. 
Às vezes, um processador deve segurar mais do que um único 
bit dos dados em um momento. 
Por exemplo, é mais eficiente que um processador trabalhe com 
um grupo de bits ao transferir dados para memória. 
Também para armazenar números e códigos exige um 
agrupamento dos bits. 
Um grupo de bits juntos é chamado um byte. Mais exatamente, 
o byte de 8 bits é comumente chamado de octeto no contexto de 
redes de computadores e telecomunicações. A uma metade de 
um byte, dá-se o nome de nibble ou semioctecto. Para os 
computadores, representar 256 números binários é suficiente. Por 
isso, os bytes possuem 8 bits. 
A terceira unidade de informação estrutural usada dentro de um 
CLP é uma palavra, word. 
 
Figura 22 - Unidades de memória do PLC: bit, bytes, e palavras 
 
 
 
 
 
 
 
22 
 
 
Figura 23 - Memórias no CLP 
Endereços que 
armazenam valores 
do tamanho de 1 
bit. Código de 
endereçamento em 
Hexadecimal 
 
 
Endereços que 
armazenam valores 
do tamanho de 16 
bits. 
 
 
A capacidade de memória é uma preocupação vital quando se 
considera uma aplicação de CLP. 
Especificar a quantidade certa de memória pode economizar os 
custos de hardware e tempo associado à adição de capacidade de 
memória adicional posteriormente. 
Saber os requisitos de capacidade de memória antes da compra 
do CLP também ajuda a evitar adquirir um controlador que não 
possui capacidade adequada ou que não é expansível. 
A capacidade de memória não é expansível em controladores 
pequenos (menos de 64 I/O de capacidade) e expansível em CLPs 
maiores. 
CLPs pequenos têm um valor fixo de memória, porque a 
memória disponível é geralmente mais do que suficiente para 
fornecer armazenamento de programas para pequenas aplicações. 
Já os controladores maiores permitem expansão de memória, já 
que o escopo de suas aplicações e o número de seus dispositivos de 
I/O têm menos limitações de hardware. 
23 
 
 
O tamanho da memória da aplicação é especificado em termos 
de K unidades, onde cada unidade K representa 1024 localizações de 
palavras. 
Uma memória de 1K, então, contém 1024 de armazenamento 
locais, uma memória de 2K contém 2048 locais, uma memória de 4K 
contém 
4096 locais e assim por diante. 
A Figura 24 ilustra duas matrizes de memória de 4K cada; no 
entanto, eles têm diferentes configurações - a primeira configuração 
usa palavras de um byte (8 bits) e a outra usa palavras de dois bytes 
(16 bits). 
 
Figura 24 - Ilustração de (a) indica um local de armazenamento de 4K por 8 bits e 
(b) indica um Local de armazenamento de 16 bits. 
 
 
A capacidade de memória de um controlador programável em 
unidades de K é apenas uma indicação do número total de locais de 
armazenamento disponíveis. 
24 
 
 
Sabendo disso o número máximo, por si só, não é suficiente 
para determinar os requisitos de memória. 
Informações adicionais sobre como as instruções do programa 
são armazenadas podem ajudar o aluno a tomar uma decisão melhor 
quando da especificação do CLP. 
O termo utilização de memória refere-se a quantidade de dados 
que podem ser armazenados em um local ou, mais especificamente, 
número de locais de memória necessários para armazenar cada tipo 
de instrução. 
O fabricante pode fornecer esses dados se a literatura do 
produto (Folha de dados ou manual do equipamento) não os 
fornecer. 
Para ilustrar a capacidade de memória, veja a Figura 24. 
Suponha que cada instrução de contato normalmente aberto e 
normalmente fechado requer 16 bits de área de armazenamento. 
Com esses requisitos de memória, a área de armazenamento 
efetiva do sistema de memória da Figura 24-a é metade da Figura 
24-b. 
Isso significa que, para armazenar o mesmo programa de 
controle de tamanho, o sistema na Figura 24-a requer 8k de 
capacidade de memória em vez de 4K, como na Figura 24-b. 
Depois de se familiarizar com a forma como a memória é 
utilizada em um determinado controlador, os usuários podem 
começar a determinar os requisitos máximos de memória para uma 
aplicação. 
Embora várias regras tenham sido usadas ao longo dos anos 
por engenharia para definir o tamanho da memória de uma aplicação, 
nenhuma regra simples apareceu como sendo a mais precisa. 
No entanto, com um conhecimento do número de saídas, uma 
ideia do número de programas contatos necessários para orientar a 
25 
 
 
lógica de cada saída, e informações sobre utilização de memória, a 
aproximação de requisitos de memória pode ser reduzida a uma 
multiplicação simples. 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
26 
 
 
Bibliografia 
 
CAMPOS, M. C. M. M., TEIXEIRA, H. C. G. Controles Típicos de 
Equipamentos e Processos Industriais. Editora Edgard Blücher, 
2006. 
 
FRANCHI, C. M.; CAMARGO, V. L. A. Controladores lógicos 
programáveis –Sistemas Discretos. Editora Érica, 2008. 
 
PRUDENTE, F. Automação Industrial - PLC - Programação e 
Instalação. Editora LTC, 2010. 
 
PRUDENTE, F. Automação industrial: PLC, teoria e aplicações: 
curso básico. Editora LTC, 2007.

Continue navegando