Buscar

Circuitos Codificadores e Decodificadores

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes
Você viu 3, do total de 17 páginas

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes
Você viu 6, do total de 17 páginas

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes
Você viu 9, do total de 17 páginas

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Prévia do material em texto

INSTITUTO FEDERAL DE EDUCAÇÃO, CIÊNCIA E TECNOLOGIA DE 
SÃO PAULO 
 
 
DANIEL RONEI DE SÁ – 1575031 
LEONARDO BAGGIO – 1572083 
MATHEUS BATISTA – 1575058 
 
 
 
CIRCUITOS CODIFICADORES E DECODIFICADORES 
 
 
 
 
 
 
 
 
 
 
 
 
 
SÃO PAULO 
2° SEMESTRE 2016 
 
 
Relatório técnico apresentado como 
requisitoparcial para obtenção de aprovação na 
disciplina T3LD1 – Laboratório de Eletrônica 
Digital 1, no Curso de Engenharia Eletrônica, no 
Instituto Federal de Educação, Ciência e 
Tecnologia de São Paulo. 
Prof. Me. Alexandre de Jesus Aragão 
 
1. OBJETIVO 
 Analisar e entender as etapas de desenvolvimento de circuitos digitais 
combinacionais. Adquirir o conceito de circuito codificador e decodificador. 
2. INTRODUÇÃO TEÓRICA 
Um decodificador é um circuito lógico que aceita um conjunto de entradas que 
representa um número binário e é ativada somente uma saída que corresponde ao 
número da entrada. Em outras palavras, um circuito decodificador analisa as suas 
entradas, determina qual número binário está presente e ativa a saída correspondente a 
esse número; todas as outras saídas permanecem desativadas. O diagrama para um 
decodificador geral com N entradas e M saídas é mostrado na figura 1. 
 
Figura 1 – Diagrama de um decodificador genérico 
 Como cada uma das N entradas pode ser 0 ou 1, existem 2𝑁 combinações ou 
códigos de entrada possíveis. Para cada uma destas combinações de entrada, apenas 
uma das M saídas estará ativa (ALTO); todas as outras saídas estarão em BAIXO. 
Muitos decodificadores são projetados para produzir saídas ativas em BAIXO, onde 
apenas a saída selecionada fica em BAIXO e todas as outras permanecem em ALTO. 
Isto será indicado pela presença de pequenos círculos nas linhas de saída no diagrama 
do decodificador. 
 Alguns decodificadores não utilizam todos os 2𝑁 códigos de entrada possíveis, 
mas apenas alguns. Por exemplo, um decodificador BCD para decimal tem um código 
de entrada de quatro bits e dez linhas de saída, que correspondem aos dez códigos BCD 
de 0000 até 1001. Decodificadores deste tipo frequentemente são projetados, de modo 
que caso um código não-usado seja aplicado na entrada nenhuma saída será ativada. 
 A Figura 2 mostra o circuito para um decodificador de três entradas e 2³ = 8 
saídas e a tabela 1 a sua tabela verdade. 
 
Figura 2 – Decodificador de 3 linhas para 8 linhas (ou 1 de 8) 
 
Tabela 1 – Tabela verdade da Figura 2 
 
Ele utiliza somente portas AND, e, portanto as saídas são ativas em ALTO. 
Importante salientar que para um determinado código de entrada, a única saída que está 
ativa (ALTO) é aquela que corresponde ao equivalente decimal do código binário de 
entrada (isto é, a saída O6 vai para ALTO somente quando CBA = 110 (bi) = 6 (dec). 
 Já a figura 3 mostra o diagrama lógico para o decodificador BCD para decimal 
7442. Ele também está disponível como um 74LS42 ou um 74HC42. 
 
Figura 3 – Diagrama lógico para o decodificador BCD para decimal 7422 
 
 Cada saída vai para BAIXO apenas quando sua entrada BCD correspondente é 
aplicada. Para combinações de entrada que são inválidas para BCD, nenhuma das saídas 
será ativada. Este decodificador pode também ser denominado decodificador 4 para 10 
ou um decodificador 1 de 10. O símbolo lógico e a tabela verdade para o 7442 são 
mostradas na figura 4 e tabela 2, respectivamente. 
 
Figura 4 – Símbolo lógico para o decodificador BCD para decimal 7422 
 
Tabela 2 – Tabela verdade para o decodificador BCD para decimal 7422 
 
 Para a maioria dos decodificadores eles apenas aceitam um código de entrada e 
produz um nível ALTO (ou BAIXO) em uma e somente uma linha de saída. Em outras 
palavras, podemos dizer que um decodificador identifica, reconhece ou detecta um 
código específico. O oposto deste processo de decodificação é chamado de codificação 
e é realizado por um circuito lógico denominado codificador. Um codificador tem certo 
número de linhas de entrada, onde somente uma delas é ativada por vez, e produz um 
código de saída de N bits, dependendo de qual entrada está ativada. A figura 5 é o 
diagrama geral para um codificador com M entradas e N saídas. Neste caso as entradas 
são ativas em ALTO, o que significa que estão normalmente em BAIXO. 
 
Figura 5 – Diagrama geral do codificador 
 
 Anteriormente foi visto que um decodificador binário para octal (decodificador 
de 3 linhas para 8 linhas) aceita um código de entrada de três bits e ativa uma dentre 
oito linhas de saída correspondente a este código. Um codificador octal para binário 
(codificador de 8 linhas para 3 linhas) realiza a função oposta: ele aceita oito linhas de 
entrada e produz um código de saída de três bits correspondente a entrada ativada. A 
figura 6 mostra o circuito lógico e a tabela 3, à tabela verdade para um codificador octal 
para binário com entradas ativas em BAIXO. Seguindo a lógica, podemos verificar que 
um nível BAIXO em qualquer uma das entradas de cada vez produzirá o código binário 
de saída correspondente para aquela entrada. 
 
 
 
Figura 6 – Circuito lógico para um codificador octal para binário (8 para 3 linhas). Para operação correta, 
apenas uma entrada deve estar ativa de cada vez 
 
 
Tabela 3 – Tabela verdade para um codificador octal para binário 
 
 
 Por último, é importante citar o codificador de prioridade Decimal para BCD 
74147. A figura 7 mostra o símbolo lógico e a tabela 4 a sua tabela verdade para o 
74147 (74LS147, 74HC147), que funciona como um codificador de prioridade decimal 
para o BCD. 
 
Figura 7 – Símbolo lógico do codificador de prioridade decimal para BCD 74147 
 
Tabela 4 – Tabela verdade de um codificador de prioridade decimal para BCD 74147 
 
 Ele possui nove entradas ativas em BAIXO representando os dígitos decimais de 
1 até 9, e produz o código BCD invertido correspondente à entrada ativada de número 
mais elevado. Analisando a tabela, podemos perceber que as linhas restantes na tabela 
mostram que um nível BAIXO em qualquer entrada, desde que todas as outras de mais 
alta ordem estejam em ALTO, produzirá o inverso do código BCD daquela entrada. As 
saídas do 74147 normalmente estarão em ALTO quando nenhuma das entradas estiver 
sendo ativada. Isto corresponde à condição de entrada decimal 0. 
3. PROCEDIMENTO EXPERIMENTAL 
3.1Material Utilizado 
 01 Circuito Integrado 7400 (Porta NAND – MED50). 
 01 Circuito Integrado 7402 (Porta NOR – MED50). 
 01 Circuito Integrado 7408 (Porta AND – MED50). 
 01 Circuito Integrado 7432 (Porta OR – MED50). 
 01 Circuito Integrado 7404 (Porta NOT – MED52). 
 01 Circuito Integrado 4511 (Decodificador para 7 Segmentos – MED05). 
 01 Display de 7 Segmentos Catodo Comum (MED05). 
 01 Circuito Integrado 4028 (decodificador BCD-Decimal – MED65). 
 01 Circuito Integrado 89C2051 (Decodificador Decimal - BCD - MED65). 
 01 Fonte de alimentação DC (LEG2000). 
 Led’s e resistores para monitoramento dos níveis lógicos (LEG2000). 
3.2 Procedimentos Experimentais 
 A primeira etapa do experimento foi considerar um decodificador de 2 bits, onde 
cada saída deveria apresentar um para cada combinação binaria das entradas, sua tabela 
verdade pode ser vista na Tabela 5. 
Tabela 5 – Decodificador de 2 bits. 
A B 𝐒𝟎 𝐒𝟏 𝐒𝟐 𝐒𝟑 
0 0 1 0 0 0 
0 1 0 1 0 0 
1 0 0 0 1 0 
1 1 0 0 0 1 
 
 A partir da tabela verdade, foi possível montar as equações das saídas S0 a S3, 
sendo essas: S0 = A̅B̅, S1 = A̅B, S2 = AB̅ e S3 = AB. Na figura 8 é possível ver o 
esquema do circuito que representa as equações de saídas e na Tabela 6 está a tabelaverdade do circuito. 
 
Figura 8 – Esquema do circuito do Decodificador de 2 bits. 
Tabela 6 – Tabela Verdade experimental do Decodificador de 2 bits. 
A B 𝐒𝟎 = �̅��̅� 𝐒𝟏 = �̅�𝐁 𝐒𝟐 = 𝐀�̅� 𝐒𝟑 = 𝐀𝐁 
0 0 1 0 0 0 
0 1 0 1 0 0 
1 0 0 0 1 0 
1 1 0 0 0 1 
 
 Quando comparado a Tabela 5com a Tabela 6, fica evidente que os resultados 
obtidos teoricamente e experimentalmente são os mesmos. 
 Em seguida foi considerado um codificador com 3 entradas I2, I1 e I0, sendo a 
entrada I2 a mais significativa. A saída desse codificador deveria apresentar zero 
quando todas as entradas estivessem em zero. A entrada I0 representa o código um, I1 o 
código dois e assim por diante. Considerando também que este é um codificador com 
prioridade, ou seja, caso mais de uma entrada fosse habilitada, a mais significativa seria 
a prioritária para o código, sua tabela verdade pode ser vista na Tabela 7. 
Tabela 7 – Codificador de 2 bits. 
Entradas Saídas 
𝐈𝟐 𝐈𝟏 𝐈𝟎 𝐒𝟏 𝐒𝟎 
0 0 0 0 0 
0 0 1 0 1 
A B
S0
S1
S2
S3
0 1 0 1 0 
0 1 1 1 0 
1 0 0 1 1 
1 0 1 1 1 
1 1 0 1 1 
1 1 1 1 1 
 
 A partir da tabela verdade, foi possível montar as equações das saídas S1 eS0, 
sendo que A =I2, B =I1 e C =I0 temos que: 
S1 = A̅BC̅ + A̅BC + AB̅C̅ + AB̅C + ABC̅ + ABC 
S0 = A̅B̅C + AB̅C̅ + AB̅C + ABC̅ + ABC 
 Usando mapa de Karnaugh para simplificar as equações encontradas, conforme 
figura 9 e figura 10. 
 
Figura 9 – Simplificação da função da saída S1Figura 10 – Simplificação da função da saída S1 
 Com as simplificações realizadas, temos que: S1 = A + B e S0 = A + B̅C. Na 
figura 11 é possível ver o esquema do circuito que representa as equações de saídas e na 
Tabela 8 está a tabela verdade do circuito. 
 
Figura 11 – Esquema do circuito do Codificador de 2 bits. 
A B
S0
S1
C
Tabela 8- Tabela Verdade experimental do Codificador de 2 bits. 
Entradas Saídas 
A = 𝐈𝟐 B = 𝐈𝟏 C = 𝐈𝟎 𝐒𝟏 = 𝐀 + 𝐁 𝐒𝟎 = 𝐀 + �̅�𝐂 
0 0 0 0 0 
0 0 1 0 1 
0 1 0 1 0 
0 1 1 1 0 
1 0 0 1 1 
1 0 1 1 1 
1 1 0 1 1 
1 1 1 1 1 
 
Quando comparado a Tabela 7 com a Tabela 8, ficamevidentes que os resultados 
obtidos teoricamente e experimentalmente são os mesmos. 
Com esta ultima etapa completa, iniciou-se a verificação do funcionamento do 
circuito integrado 4511 e preenchido sua tabela verdade com as entradas e dígitos que 
eram mostrados no display, conforme Tabela 9. 
Tabela 9 – Tabela Verdade do CI 4511. 
LE 𝐁𝐋̅̅ ̅̅ 𝐋𝐓̅̅̅̅ D C B A Saída 
X X 0 X X X X Acesso 
X 0 1 X X X X Apagado 
0 1 1 0 0 0 0 0.0 
0 1 1 0 0 0 1 1.0 
0 1 1 0 0 1 0 2.0 
0 1 1 0 0 1 1 3.0 
0 1 1 0 1 0 0 4.0 
0 1 1 0 1 0 1 5.0 
0 1 1 0 1 1 0 6.0 
0 1 1 0 1 1 1 7.0 
0 1 1 1 0 0 0 8.0 
0 1 1 1 0 0 1 9.0 
0 1 1 1 0 1 0 Apagado 
0 1 1 1 0 1 1 Apagado 
0 1 1 1 1 0 0 Apagado 
0 1 1 1 1 0 1 Apagado 
0 1 1 1 1 1 0 Apagado 
0 1 1 1 1 1 1 Apagado 
1 1 1 X X X X Apagado 
 
Observando a tabela verdade, foi possível identificar a função dos três terminais 
de controle, sendo esses: LE (Latch Enable/Strobe), BL̅̅ ̅̅ (Blanking) e LT̅̅̅̅ (Lamp Test), 
onde o terminal LT̅̅̅̅ é ativado quando está em nível 0 e tem como objetivo testar os leds 
do display, acendendo todos eles, o terminal BL̅̅̅̅ também é ativado em nível 0 e este 
apaga todos os leds do display e sua função consiste em apagar ou modular por pulsos a 
intensidade se segmentos, já o terminal LE é ativado em nível 1 e serve para fixar uma 
condição no display, ou seja, quando o terminal LE for ativado, o número presente no 
display não mudará, mesmo que as condições de entrada mudem, ou seja, tem como 
função armazenar o código de entrada. 
Em seguida foi verificado o funcionamento do circuito integrado 4028 
preenchendo sua tabela verdade, conforme tabela 10. 
Tabela 10 – Tabela Verdade do CI 4028. 
D C B A 0 1 2 3 4 5 6 7 8 9 
0 0 0 0 1 0 0 0 0 0 0 0 0 0 
0 0 0 1 0 1 0 0 0 0 0 0 0 0 
0 0 1 0 0 0 1 0 0 0 0 0 0 0 
0 0 1 1 0 0 0 1 0 0 0 0 0 0 
0 1 0 0 0 0 0 0 1 0 0 0 0 0 
0 1 0 1 0 0 0 0 0 1 0 0 0 0 
0 1 1 0 0 0 0 0 0 0 1 0 0 0 
0 1 1 1 0 0 0 0 0 0 0 1 0 0 
1 0 0 0 0 0 0 0 0 0 0 0 1 0 
1 0 0 1 0 0 0 0 0 0 0 0 0 1 
1 0 1 0 0 0 0 0 0 0 0 0 0 0 
1 0 1 1 0 0 0 0 0 0 0 0 0 0 
1 1 0 0 0 0 0 0 0 0 0 0 0 0 
1 1 0 1 0 0 0 0 0 0 0 0 0 0 
1 1 1 0 0 0 0 0 0 0 0 0 0 0 
1 1 1 1 0 0 0 0 0 0 0 0 0 0 
 
 A última etapa do experimento foi verificar o funcionamento do circuito 
integrado 89C2051 e então preenchido sua tabela verdade, vide tabela 11. 
Tabela 11 – Tabela Verdade do CI 89C2051. 
0 1 2 3 4 5 6 7 8 9 D C B A 
1 0 0 0 0 0 0 0 0 0 0 0 0 0 
0 1 0 0 0 0 0 0 0 0 0 0 0 1 
0 0 1 0 0 0 0 0 0 0 0 0 1 0 
0 0 0 1 0 0 0 0 0 0 0 0 1 1 
0 0 0 0 1 0 0 0 0 0 0 1 0 0 
0 0 0 0 0 1 0 0 0 0 0 1 0 1 
0 0 0 0 0 0 1 0 0 0 0 1 1 0 
0 0 0 0 0 0 0 1 0 0 0 1 1 1 
0 0 0 0 0 0 0 0 1 0 1 0 0 0 
0 0 0 0 0 0 0 0 0 1 1 0 0 1 
0 0 0 0 0 0 0 0 0 0 0 0 0 0 
0 0 0 0 0 0 0 0 0 0 0 0 0 0 
0 0 0 0 0 0 0 0 0 0 0 0 0 0 
0 0 0 0 0 0 0 0 0 0 0 0 0 0 
0 0 0 0 0 0 0 0 0 0 0 0 0 0 
0 0 0 0 0 0 0 0 0 0 0 0 0 0 
 
 Este circuito possui uma versão modificada de outros circuitos convencionais, 
ele possui a lógica necessária para garantir que, quando duas ou mais entradas estiverem 
ativadas, o código de saída corresponderá à entrada com número mais alto. Por 
exemplo, ele sempre mostra em sua saída o maior valor ativado, caso 3 e 7 estiverem 
ativados, o código de saída será 0111 (bi). 
 
4. QUESTÕES 
1) Projetar um circuito que receba sinais de um teclado de 10 teclas (0 a 9), codificando 
o mesmo para BCD e mostrando em um display de 7 segmentos. No segundo display, o 
número deve aparecer somente se a tecla digitada for menor ou igual a 5, ou se a tecla 
digitada for igual a um código pré-ajustado em uma chave BCD, caso contrário, o 
segundo display deve permanecer mostrando zero. Utilizar codificadores, 
decodificadores e comparadores integrados para facilitar o desenvolvimento do projeto. 
 
2) Mostre como 2 decodificadores 74138 podem ser combinados para formar um único 
decodificador com quatro entradas e dezesseis saídas. 
 Os dois decodificadores 74138 podem ser combinados conforme a figura 12, 
para formar um único decodificador 4x16. 
 
Figura 12 – Decodificador 74138 (4x16). 
 Ressaltando de que os terminais 7 e 9 à 15 são as saídas, considerando os dois 
decodificadores combinados, totalizam 16 saídas. 
3) Mostre como usar 9 decodificadores 74138 para construir um decodificador com 6 
linhas de entrada e 64 linhas de saída. 
 A figura 13 mostra a disposição dos decodificadores 74138 de modo a fornecer 
64 saídas mediante 6 entradas. 
 
Figura 13 – Circuito decodificador 74138 para 6 entradas. 
 
5. CONCLUSÃO 
 Circuitos codificadores e decodificadores são circuitos feitos para promover a 
comunicação entre equipamentos que operam utilizando códigos diferentes, podem ser 
utilizados para “simplificar” informações, codificando uma informação grande em um 
código pequeno, entre outras aplicações. As etapas iniciais do procedimento nos 
proporcionaram a compreensão do funcionamento interno de um circuito 
codificador/decodificador e a como moldar um circuito lógico combinacional para 
codificar/decodificar um problema proposto, utilizando portas lógicas. 
 Após o projeto de circuito codificador e decodificador com portas lógicas, 
verificamos o funcionamento do CI 4511, que se trata de um decodificador decimal para 
7 segmentos, verificamos que de fato ao inserir uma informação binária nas entradas o 
display de 7 segmentos apresenta o respectivo número binário em decimal, sendo que o 
display suporta somenteos números de 0 a 9 e se apaga caso a informação de entrada 
seja maior que 9, além de testarmos as funções LatchEnable, Blanking e Lamp Test. 
 Nas etapas finais do experimento, testamos os CI’s 4028 e 89C2051, que são 
respectivamente, decodificador BCD para decimal e codificador decimal para BCD, 
verificamos que estes circuitos promovem a comunicação entre equipamentos que 
operam em código BCD com equipamentos que operam em código decimal. 
 Considerando o objetivo do experimento de analisar e entender o 
desenvolvimento de circuitos digitais combinacionais e de adquirir o conceito de 
circuito codificador e decodificador, cumprimos com a proposta do experimento, mas 
ainda assim houve grande dificuldade no desenvolvimento das questões propostas no 
roteiro de relatório, devido à falta de familiaridade com circuitos codificadores e 
decodificadores. 
 
6. BIBLIOGRAFIA 
CAPUANO, Francisco G.; IDOETA, Ivan Valeije. Elementos de Eletrônica Digital. 
40ª ed. São Paulo: Érica, 2000. 
TOCCI, R.J. &WIDMER,N.S.Sistemas digitais: princípios e aplicações. 11a ed, 
Prentice-Hall, 2011.

Outros materiais