Buscar

Caderno de Laboratório Sistemas Digitais 2013 1

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você viu 3, do total de 74 páginas

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você viu 6, do total de 74 páginas

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você viu 9, do total de 74 páginas

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Prévia do material em texto

1 
 
 
 
 
 
Sistemas Digitais 
 
 
 
Caderno de Laboratório 
 
Aula Introdutória 
Aula 01 a 14 
 
 
 
 
 
 
 
 
 
 
PROF. MSc. MÁRIO OLIVEIRA ORSI 
PROF. MSc. CARLOS ALEXANDRE FERREIRA DE LIMA 
Fevereiro 2013 
Cod. Disc:_________ TURMA: _______ GRUPO:_______ 
 
 
NOME:__________________________________________ 
 
 
 
 2 
AULA Introdutória 
 
1. Introdução 
 
A utilização do laboratório é destinada à aplicação da parte teórica apresentada na 
preleção, complementado de forma fundamental o ensino da disciplina Sistemas Digitais. 
As aulas foram organizadas de forma a abranger todo o conteúdo do nosso programa. 
 
2. Apresentação 
 
Cada aula no laboratório será apresentada todas as informações e dados 
necessários para realização das atividades previstas e o preenchimento do Relatório dos 
experimentos correspondentes. 
A partir da 7ª aula é iniciada a implementação de um projeto que será completado 
até o final do semestre. 
 
AULAS 
 
1- Portas Lógicas Básicas..................................................................................................06 
 
2- Circuitos Combinacionais de Portas Lógicas Básicas....................................................15 
 
3- Portas Lógicas NAND.....................................................................................................16 
 
4- Portas Lógicas NOR.......................................................................................................19 
 
5- Circuitos XOR e tree state..............................................................................................21 
 
6- Projeto final etapa 1 (Circuito Decodificador e Display).................................................24 
 
7- Circuitos XOR e XNOR , Aplicações..............................................................................32 
 
8- Projeto final etapa 2 (Circuito somador e subtrator).......................................................36 
 
9- Projeto final etapa 3 (Correção BCD e sinal)..................................................................40 
 
10- Projeto final etapa 4 (Seletor).........................................................................................57 
 
11- Projeto final etapa 5 (Pulso 1 e Pulso 2)........................................................................60 
 
12- Projeto final etapa 6 (Contador BCD).............................................................................62 
 
13- Projeto final etapa 7 (Gerador de sinais de controle).....................................................65 
 
14- Projeto final etapa 8 (Registrador A e B)........................................................................67 
Conclusão.......................................................................................................................71 
 
 3 
3. Projeto Final do Laboratório 
 
Trata-se do projeto de uma calculadora BCD (Bynare Coded Decimal – Quatro bits 
representam um algarismo decimal) sendo dividido para a implementação em oito partes 
descritas abaixo e mostradas no diagrama de blocos funcionais Fig 1. 
Projeto Final: Calculadora BCD 
1a parte: Decodificador e Display 
2a parte: Somador e Subtrator 
3a parte: Correção BCD e Sinal 
4a parte: Seletor 
5a parte: Chaves sem Rebatimento – Pulso 1 e Pulso 2 
6a parte: Contador BCD 
7a parte: Gerador de Sinais de Controle 
8a parte: Registrador A e B 
 
 O projeto deverá ser implementado por grupos de no máximo 5 alunos, observando 
que o relatório é sempre individual. 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
Fig 1 – CALCULADORA BCD 
 DECODIFICADOR 
SELETOR 3:1 
 MONITOR 
 
GERADOR 
DE SINAIS 
DE 
CONTRÔLE 
PULSO 1 
PULSO 2 
 
SOMADOR / 
SUBTRATOR BCD 
MODO 
+ / - 
REG A REG B 
 SINAL DISPLAY 
 CONTADOR BCD 
I 
 
 4 
4. Regras de Avaliação 
 
Todas as aulas de laboratório são avaliativas. Cada Relatório individual preenchido 
tem o valor máximo de 8 pontos. 
A participação do aluno na realização nos experimentos corresponderá a nota 
máxima de 2 pontos. Não haverá reposição de práticas de laboratório. Os alunos que 
faltarem à determinada prática de laboratório terão automaticamente nota zero na 
participação naquela prática. 
 
As notas N1 e N2 do laboratório representam 40% da N1 e N2 da seguinte forma: 
 
N1=0,4*LAB+0,6*PRE 
 
Sendo que: Nota N1: LAB média das avaliações: 
 
Experiências em grupo das aulas iniciais 
Projeto final etapas completadas 
Experiência relatório individual (ULA) 
 
 N2=0,1*AI+0,9.( 0,4.LAB + 0,6.PRE) 
 
Sendo que: nota N2 LABmédia das avaliações: 
 
1ª N2Projeto da calculadora BCD Etapa 3 
2ª N2Projeto da calculadora BCD Etapas 04 a 08 
3ª N2 Experiência relatório individual final 
 
MÉDIA FINAL = N1* 0,4 + N2*0,6 
 
LABavaliação contínua de atividades em laboratório 
PRE avaliações referentes às aulas teóricas 
AIAvaliação Interdisciplinar 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 5 
5. Normas do Laboratório 
 
Para as aulas de laboratório os alunos deverão seguir as seguintes normas: 
 
a) Chegar pontualmente à aula de prática de laboratório; 
 
b) Ler atentamente as instruções relativas à sua experiência; 
 
c) Examinar os aparelhos (módulos de testes) que serão utilizados nas experiências de 
modo a se familiarizar com o funcionamento deles; 
 
d) Anotar todas as explicações dadas pelo professor, pois essas notas serão úteis na 
elaboração do relatório; 
 
e) Elaborar o relatório com clareza usando inicialmente o lápis e depois de concluído os 
testes preencher com caneta; 
 
f) Levar para o laboratório o material necessário: este caderno, lápis etc. 
 
g) Começar o experimento somente após a autorização do professor; 
 
h) Em hipótese alguma brincar com materiais, componentes e equipamentos destinados 
aos experimentos; 
 
i) No final da aula, antes das saída dos alunos, o professor verificará o funcionamento 
dos equipamentos utilizados. Em caso de dano de algum material ou equipamento 
decorrente de mau uso por parte do(s) aluno(s), o professor deverá comunicar ao 
coordenador responsável pelo laboratório para que sejam tomadas as devidas 
providências. 
 
6. Referências 
 
Bibliografia Básica 
 TOCCI, Ronald J.; WIDMER, Neal S. Sistemas digitais: princípios e aplicações. 11. ed. Rio de 
Janeiro: Pearson Prentice Hall, 2011. 
 IDOETA, Ivan V.; CAPUANO, Francisco G. Elementos de eletrônica digital. 41. ed. São Pulo: 
Érica, 2012. 
 BIGNELL, James; DONOVAN, Robert (Sec.). Eletrônica digital. São Paulo: Cengage Learning, 
2010. 
Bibliografia Complementar 
 MENDONÇA, Alexandre; ZELENOVSKY, Ricardo. Eletrônica digital: curso prático e exercícios. 2. 
ed. Rio de Janeiro: MZ, 2007. 
 DIAS, Morgado. Sistemas digitais: princípios e prática. 2. ed., rev. Lisboa: FCA, 2011. 
 GARCIA, Paulo Alves; MARTINI, José Sidnei Colombo. Eletrônica digital: teoria e laboratório. 2. ed. 
São Paulo: Érica, c2006. 
 VAHID, Frank. Sistemas digitais: projeto, otimização e HDLs. Porto Alegre: Bookman, 2008. 
 MALVINO, Albert. P.; LEACH, Donald P. Eletrônica digital: princípios e aplicações. 4. ed. São 
Paulo: Makron Books, 2005. 
 
 6 
AULA 1 
Portas Lógicas Básicas 
 
Referência Livro Texto: Capítulo 4 - 4.9 a 4.14 
 
Objetivo: Familiarização com equipamento de experiências - recursos principais; Normas 
básicas de segurança e Procedimentos para o Inicio de Experiências; Conhecer e testar as 
Portas Lógicas Básicas; Cis 7404, 7408 e 7432, 7400 e 7402. 
 
 
1. Familiarização com equipamento de experiências 
 
1.1 Objetivo 
 
Propiciar um primeirocontato do aluno com os equipamentos, kits de montagens práticas, 
através de verificação geral do funcionamento do kit - Modulo 8810 (Módulo de 
Treinamento e Teste Lógico) e da inserção de componentes, além de introduzir normas 
básicas de prevenção de acidentes. 
 
1.2 Introdução 
 
Os sistemas digitais utilizam variáveis que podem assumir valores definidos em forma de 
patamares (valores discretos). A importância de se estudá-los cresce com as freqüentes 
aplicações, seja nas áreas tecnológicas, ou mesmo no cotidiano doméstico. 
Na prática, os circuitos que executam funções digitais são construídos com 
componentes eletrônicos que manipulam a informação representada por níveis de tensão, 
usualmente dois, um dito alto, outro baixo. Circuitos digitais de dois estados (sistema 
binário), pela semelhança da escolha entre duas situações mutuamente exclusivas, são 
comumente chamados de circuitos lógicos. 
De maneira geral, os circuitos digitais são mais simples que os lineares, o que 
possibilita reunir-se num único equipamento quase todo o aparato necessário à realização 
de experiências, pesquisa e desenvolvimento de pequenos projetos, desde que os recursos 
estejam adequadamente combinados para permitir montagens de forma rápida e confiável. 
Os kits de montagem têm normalmente três fontes (+5, +15 e –15V), permitindo a 
montagem de circuitos integrados digitais de diversas tecnologias: DTL, TTL, MOS e 
CMOS. 
 
 
1.3 Placa de montagem de circuitos (PROTOBOARD): 
 
É uma placa onde podem ser montadas todas as experiências do curso. Ela é constituída 
por conjuntos de 5 pinos conectados entre si (fig a). Por isto, quando qualquer componente 
(fig b) é inserido, os pontos remanescentes ficam disponíveis, tanto para se ligar fios de 
interconexão como outros componentes, ou mesmo para obtenção de pontos de teste do 
circuito. 
 
 
 7 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
A placa de montagem aceita fios sólidos números 22 ou 24 (AWG) para a implementação 
dos circuitos. O espaçamento entre os grupos de 5 pinos é compatível com os circuitos 
integrados (digitais ou lineares), com o encapsulamento TOS, DIP (fig c) e muitos 
componentes discretos. 
 
Existem ainda 12 grupos de 30 pinos interconectados (fig d), que são convenientes 
para se injetar sinais comuns como VCC, VDD, VSS, terra ou outro sinal qualquer que 
requeira mais de 5 ligações. 
 
 
 
 
 
 
 
 
 
 
 
 
Os KITs de montagem para uso neste laboratório são os MODULOS que 
possuem uma FONTE (5 volts) e SEIS placas de montagem do modelo mostrado 
acima. 
 
 
 
1.4 Fontes de Alimentação - As fontes necessárias para o laboratório são: 
 
1.4.1 Alimentação AC - fornecida na bancada para todos os equipamentos. A alimentação 
é de 220 VAC. 
1.4.2 Alimentação DC - A tensão utilizada será de +5V, compatível com a lógica TTL, 
fornecida pelos equipamentos nos experimentos deste curso. 
 
1 
2 
3 
4 
5 
1 
2 
3 
4 
5 
74LS00 
(b) (a) 
74LS00 
(c) 
(d) 
 
 8 
1.5 Chaves de Codificação e LEDs de monitoração: 
 
 Para introdução e retirada de informações. Basicamente consiste de chaves interruptoras 
tipo liga-desliga que fornecerão os níveis lógicos necessários para o circuito implementado 
na placa de montagem. 
 
Podem ser usados cabos combinação agulha-agulha conectando as entradas dos 
circuitos aos níveis lógicos (conectado no terra = nível 0, em 5 V = nível 1) 
. 
0s LEDs de monitoração são Lâmpadas indicadoras – semicondutor (LED) são 
usadas para mostrar em determinado ponto do circuito da placa de montagem se o sinal 
estará ALTO ou BAIXO, estando acesas ou apagadas, respectivamente. 
 
Serão usados neste laboratório LEDs avulsos de 10ma e 1,5 V. 
 
1.6 Precauções sobre o equipamento (módulo de montagem): 
 
1.6.1 É um bom procedimento Não fazer ligações no BOARD com a chave geral ligada. 
1.6.2 Nunca monte circuitos que solicitem mais que 1 A de cada fonte (+5V), pois, neste 
caso, a fonte que estiver sobrecarregada irá se desligar do circuito. 
 
 
2. Normas de segurança e Procedimentos para o Inicio de Experiências 
 
 Certifique-se de que a chave geral do equipamento está desligada 
 Examine criteriosamente o painel do modulo e procure reconhecer todos os 
componentes descritos na parte teórica 
 Conecte o plug ~ tomada de força (220V/6OHz) – entrada de energia. 
 Ligue a chave geral e, observando os indicadores acesos, confira se a situação é 
normal. Qualquer suspeita de mau funcionamento, desligue o módulo e comunique ao 
professor. 
 
 
Outras Recomendações: 
 
 Antes de iniciar a experiência, certificar-se de que a tensão disponível é adequada. 
 Testar o funcionamento dos equipamentos (modulo 8810) e kits de montagens. 
 Executar a montagem ou alteração com equipamentos desligados. 
 Não interconectar saídas dos dispositivos, dos circuitos ou de fonte (evitar curto-circuito). 
 Nunca ligar as saídas das fontes diretamente ao comum. 
 
 
“SE ALGUM ACIDENTE OCORRER DURANTE A EXPERIÊNCIA, ANOTE E 
COMUNIQUE-O IMEDIATAMENTE AO PROFESSOR” 
 
 
 9 
 
3. Teste das portas dos Circuitos integrados: 
 
3.1 Fundamentos teóricos 
A lógica TTL (Transistor - Transistor - Logic) - 0 curso está estruturado em cima da 
lógica TTL com circuitos integrados (CI) da série 74XX. 
 
 
 
 
 
 
 
 
 
 
 
 
 
3.1.1 Configuração de pinos e encapsulamento - 0 curso utilizará a configuração DIP 
(Dual-In-line-Package), com 14, 16 e 24 pinos. 
 
O pino 1 é identificado 
por uma marca 
indicativa no circuito 
integrado, como mostra 
as figuras a seguir, e a 
contagem se faz no 
sentido anti-horário, 
olhando-se o circuito 
por cima. 
 
3.1.2 Definição do 
nível lógico - nos 
circuitos digitais 
somente dois níveis 
lógicos são permitidos 
e cada família lógica 
tem estes níveis 
rigidamente definidos. 
Na família TTL, o nível 
lógico "ALTO" é 
definido como qualquer 
tensão entre 2 e 5V e 
"BAIXO" para qualquer 
tensão entre 0 a 0,8V. 
 
 
 10 
3.1.3 Representação dos níveis - Há muitas representações para um dado nível lógico. Um nível 
"ALTO* frequentemente representado como "1" (um) ou "VERDADE" e o nível "BAIXO" 
como "0"(zero) ou "FALSO". Em toda experiência deste curso, se fará uso da lógica positiva, 
que é definida como se tendo o nível lógico "1" ou "ALTO" como a tensão mais alta do 
circuito e "0"(zero) ou “BAIXO" com a tensão mais baixa do circuito. 
 
Nota: Em um circuito integrado (CI) da lógica TTL, quando um terminal de entrada de sinal 
é deixado aberto, sem ser conectado a nenhum ponto, isto será interpretado como 
um nível “1” ou “ALTO”. Isto não deve ser utilizado normalmente, pois pode acarretar 
problemas de ruído. 
 
3.1.4 Outras Definições: 
 
 Em todas as experiências, iremos usar símbolos de notação americana, mais largamente 
empregada na representação de circuitos lógicos. 
 Operação estática e operação dinâmica – Operação estática é a operação dos componentes, 
com níveis determinados de tensão, sem haver transição de um nível para outro continuamente. 
 Operação dinâmica é a operação com pulsos repetitivos, com transição nos terminais. 
 Tabela da verdade – é uma tabela que relaciona os níveis das entradas com os níveis obtidos 
nas saídas. Nas colunas das entradas fazemos uma combinação de todos os possíveis níveis 
para obtermos, em cada caso, os respectivos níveis das saídas. 
 
 
4. Procedimentos Experimentais: 
 
1. Colocar os circuitos integrados abaixo no modulo ou kit de montagem. 
2. Interligar a alimentação. 
3. Conectar com fios os níveis lógicos na(s) entrada(s) de cada porta lógica e a saída 
em um LED de monitoração. 
4. Testar as portas de cada um dos CIs 7408, 7432 observando o Layout e Tabela 
Verdade correspondentes mostrados na paginasà frente: 
 
5 PESQUISAR na bibiografia/internet e anexar a este relatório OS ESQUEMAS DOS 
CIRCUITOS ELETRICOS das portas AND, NAND, OR, NOR, NOT da família TTL. 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 11 
LAYOUT DOS CIs das PORTAS LOGICAS BÁSICAS 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 12 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
Outros circuitos integrados disponíveis: 
 
1 2 3 
 
 
 
 13 
Vcc
`+ 5V f g a b c d e
16 15 14 13 12 11 10 9
1 2 3 4 5 6 7 8
B1 B2 B3 B0
7448
n/c
a b
c
d
f
e
g
a b
g f
e d cn/c
a b
c
d
f
e
g
a b
g f
e d cn/c
R
+5v
Vcc
`+ 5V f g a b c d e
16 15 14 13 12 11 10 9
1 2 3 4 5 6 7 8
B1 B2 B3 B0
7447
n/c
 C 4 
 A 3 A 2 A 1 
 A 0 B 3 
 B 2 B 1 
 B 0 C 0 
 1 
 
 3 
 
 2 
 
 0 
 
16 
 15 14 13 12 11 10 9 
1 
 2 3 4 5 6 7 8 
5 V 
 
7483 
 
Outros circuitos integrados disponíveis: 
 
 
 
 
 7486 : Quatro portas lógicas EX-OR 
 
 
 
 
 
 
 7448 Decodificador BCD 
 Display catodo comum 
 
 
 
 
 
 7447 Decodificador BCD 
 Display anodo comum 
 
 
 
 
 
 
 CI 7483 Somador Binário 
 Paralelo de 4 bits 
 
 
 
 14 
 select 
+5V strub A0 Saída Mux 2 
16 15 14 13 12 11 10 9 
1 2 3 4 5 6 7 8 
strub A1 Saída Mux 1 
select 
74153 
 I3 I2 I1 I0 
 I3 I2 I1 I0 
 
0, 1, 2, 3, 4
1
0 01
chave A chave B
( 0 ) ( 9 )(normal) (normal) 1
14
2
13
3
12
4
NC
NC
11
5
+5V
10
6
9
7
8
0, 1
 
 
 
 CI 74153: 2 x multiplex digital 
 4X1 
 
 
 
 
 
 
 CI 7476: 2 x flip-flop JK 
 
 
 
 
 
 
 CI 7490: Contador BCD 
 
 
 
 
 
 
 
 
 
 CI 7475: 2xflip-flop tipo D 
 
 
 Q 2 
Q 3 
 D 2 Q 0 
 Q 1 Q 3 
 Ck 2 D 0 
 Q 1 C K1 
D 1 
 
Q 2 
 
D 3 
 
Q 0 
 
16 15 14 13 12 11 10 9 
1 2 3 4 5 6 7 8 
 
5 V 
 
7475 
 
 15 
AULA 2 
Circuitos Combinacionais de Portas Lógicas Básicas 
 
Objetivo: Implementação de circuitos combinacionais com as Portas Lógicas Básicas; CIs 
7408 e 7432; Determinação da função de circuito obtendo sua expressão lógica e tabela 
verdade 
 
1. Fundamentos Teóricos: Referência Livro Texto: Capítulo 3.1 a 3.8 e Capítulo 3 
 
1.1 No circuito (abaixo), escrever sua expressão lógica, construir a tabela verdade 
(esperada) preenchendo a tabela abaixo. 
 
 
 
 
 
 
S = 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
2. Procedimentos Experimentais: 
 
2.1 Testar o funcionamento do módulo ou kit de montagem. 
2.2 Colocar o(s) CI(s) no módulo ou kit de montagem e testar as portas do CI (Aula 1). 
2.3 Numerar o esquema acima de acordo com o layout dos CIs (Aula 1). 
2.4 Montar o circuito no módulo ou kit de montagem fazendo todas as interligações. 
2.5 Usar as chaves de níveis 0,1 nas entradas para verificar a tabela verdade. 
 
 
A B C 
 
A B C S 
0 0 0 
0 0 1 
0 1 0 
0 1 1 
1 0 0 
1 0 1 
1 1 0 
1 1 1 
 
 16 
AULA 3 
Portas Lógicas NAND 
 
Referência Livro Texto: Capítulo 3.9, 3.11 e 3.12 
 
Objetivo: Projetar um circuito completo; De Morgan; Relações de equivalência; Obtenção 
da tabela verdade e da expressão do circuito a partir da especificação abaixo e montagem 
do circuito usando Portas Lógicas NAND e depois NOR. 
Projetar um circuito de alarme para economizar energia considerando 3 entradas A,B,C, 
conforme a seguir 
A= VIDRO ABERTO 
B= PORTA ABERTA 
C= AR CONDICIONADO LIGADO 
 
SOLUÇÃO: Passos 
1. Escrever a tabela verdade 
2. Fórmula de interpolação 
3. Simplificação algébrica 
4. Uniformização em portas NAND e Montagem e teste do circuito 
5. Uniformização em portas NOR e Montagem e teste do circuito 
 
PASSOS 1 , 2 E 3 : usando o espaço abaixo. Escreva saída S da tabela verdade, e a partir 
desta determine a expressão do circuito (formula de interpolação) e simplifique a expressão 
indicando quais os termos estão sendo simplificados. 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 A
B CKT S
C
 A B C S 
0 0 0 0 
0 0 1 0 
0 1 0 0 
0 1 1 1 
1 0 0 0 
1 0 1 1 
1 1 0 1 
1 1 1 1 
 
 17 
Passo 4: Reescreva a seguir a expressão simplificada obtida acima convertendo a mesma 
para usar somente portas NAND de 2 entradas (Uniformização em portas NAND -2 ENTRADAS): 
 
 
 
 
 
 
 
 
 
1.1 Esquematizar o circuito com portas NAND de 2 entradas 
 
 
 
 
 
 
 
 
 
 
 
 Procedimentos Experimentais: 
 
1) Testar o funcionamento do módulo ou kit de montagem. 
2) Colocar o(s) CI(s) no módulo ou kit de montagem e testar as portas do CI (Aula 1). 
3) Numerar o esquema de acordo com o layout do CI 7400 (Aula 1) fig abaixo. 
 
 
 
 
 
 
 
 
 
 
 
 
 
4) Montar o circuito no módulo ou kit de montagem fazendo todas as interligações. 
5) Usar as chaves de níveis 0,1 nas entradas para verificar a tabela verdade. 
 
 A B C 
 
1 2 3 
 
 18 
 
Passo 5: Reescreva a seguir a expressão simplificada obtida acima convertendo a mesma 
para usar somente portas NOR de 2 entradas (Uniformização em portas NOR -2 ENTRADAS): 
 
 
 
 
 
 
 
 
 
1.2 Esquematizar o circuito com portas NOR de 2 entradas 
 
 
 
 
 
 
 
 
 
 
 
 Procedimentos Experimentais: 
 
6) Colocar o(s) CI(s) no módulo ou kit de montagem e testar as portas do CI (Aula 1). 
7) Numerar o esquema de acordo com o layout do CI 7400 (Aula 1) fig abaixo. 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
8) Montar o circuito no módulo ou kit de montagem fazendo todas as interligações. 
9) Usar as chaves de níveis 0,1 nas entradas para verificar a tabela verdade. 
 
 A B C 
 
 19 
AULA 4 
Portas Lógicas NOR 
 
Objetivo: Portas Lógicas NOR; Obtenção da expressão Lógica a partir da Tabela e 
Simplificação (DeMorgan e Mapa K); montagem do circuito. 
 
1. Fundamentos Teóricos: Referência Livro Texto: Capítulo 3.10 e 4.1 a 4.4 
 
1.1 A partir da tabela abaixo, escrever a expressão (fórmula de interpolação) e fazer a 
simplificação (marcando os termos envolvidos em cada simplificação). 
 · 
Formula de Interpolação 
 
 S = 
 
 Simplificação 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
1.2 Reescrever a seguir a expressão simplificada obtida acima convertendo a mesma para 
usar somente portas NOR de 2 entradas (Uniformização em portas NOR -2 Entradas) 
 
 
 
 
 
 
 
 
 
 
 
 
 A B C S 
0 0 0 1 
0 0 1 0 
0 1 0 1 
0 1 1 1 
1 0 0 0 
1 0 1 1 
1 1 0 0 
1 1 1 1 
 
 20 
 1.3 Esquematizar o circuito com portas NOR de 2 entradas. 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
2. Procedimentos Experimentais: 
 
2.1 Testar o funcionamento do módulo ou kit de montagem. 
2.2 Colocar o(s) CI(s) no módulo ou kit de montagem e testar as portas do CI (Aula 1). 
2.3 Numerar o esquema de acordo com o layout do CI 7402 (Aula 1) fig abaixo. 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
2.4 Montar o circuito no módulo ou kitde montagem fazendo todas as interligações. 
 
2.5 Usar as chaves de níveis 0,1 nas entradas para verificar a tabela verdade. 
 A B C A B C 
 
 21 
AULA 5 
Circuitos XOR XNOR e TREE STATE 
1 - Objetivo: Conhecer portas lógicas disponibilizadas na forma de Circuitos Integrados, 
assim como a lógica de acionamento das mesmas. Conhecer o dispositivo buffer three-
state. 
 
2 - Resumo Teórico: As portas lógicas são projetadas para receber dois níveis de tensão 
como sinal de entrada, o nível alto e o nível baixo. As saídas de portas lógicas também são 
projetas para funcional com esses dois níveis de tensão. Em diversas aplicações deseja-se 
isolar entradas de sistemas específicos dos estágios de saídas anteriores. O isolador lógico 
e chamado de buffer, e tem mais um estado de saída possível: o nível denominado “ALTA 
IMPEDANCIA”. Este dispositivo tem um terminal de controle que recebe um bit para 
HABILITAR ou DESABILITAR a passagem do sinal. Para o sinal, o buffer funciona como 
uma chave, que permite a passagem do sinal ou o impede. Entenda-se o nível Alta 
Impedância como sendo o estado ABERTO da chave. 
 
 
 
 
 
 
Buffers três estados 
74LS125 74LS126 
E S E S 
L A L Alta 
impedância 
H Alta 
impedancia 
H A 
figura (1): buffers three state não inversores 
 
Adicionalmente, temos as portas lógicas EX-OR e EX-NOR a serem apresentadas, e cujo comportamento e descrito na 
tabela 1, abaixo. 
PORTAS LOGICAS OU-EXCLUSIVO E NÃO-OU-EXCLUSIVO 
7486 : “OU” ( EX-OR ) 74266: “E” ( EX-NOR ) 
Entradas saída entradas saída 
A B S A B S 
L L L L L H 
L H H L H L 
H L H H L L 
H H L H H H 
tabela (1): comportamento lógico das portas EX-OR e EX-NOR 
 
Circuito Integrado Descrição 
 
74 125 : Quatro buffers three state 
A S 
E 
74LS125 
A S 
E 
74LS126 
A S 
E 
Equivalente lógico 
 
 22 
 
74 86 : Quatro portas lógicas EX-OR 
 
Circuito Integrado Descrição 
 
74 266 : quatro portas lógicas EX-NOR 
 
74 00 : quatro portas lógicas NAND 
tabela (2): identificação dos terminais de entrada e saída relativos às aos buffers e as portas EX-OR, EX-NOR e NAND 
 
 
3 – IMPLEMENTACAO: 
 
3.1 - Conectar corretamente os terminais do CI 74125 à matriz de contato do aparelho de 
teste. Não se esqueça de que o terminal 7 é ligado ao terra e o terminal 14 é ligado ao Vcc. 
Inverter estes terminais pode estragar o CI. Preencher a tabela equivalente, utilizando as 
palavras 0 (LOW) e 1 (HIGH) 
 
 
 
E A S 
0 0 
0 1 
1 0 
1 1 
 
 
 
 
 
 
 
A 
S 
E 
74LS12
5 
1 
2 3 
 
 23 
3.2 – Verificação do comportamento da porta lógica EX-OR vista isoladamente. Fazer as 
ligações indicadas na figura abaixo. Preencher a tabela equivalente, utilizando as palavras 
0 (LOW) e 1 (HIGH) 
 
 
 
 
A B S 
0 0 
0 1 
1 0 
1 1 
 
 
3.3 – Implementar o circuito abaixo e mostre que o buffer funciona como isolador do sinal. 
 
E A B C S1 S2 
0 0 0 0 
0 0 0 1 
0 0 1 0 
0 0 1 1 
0 1 0 0 
0 1 0 1 
0 1 1 0 
0 1 1 1 
1 0 0 0 
1 0 0 1 
1 0 1 0 
1 0 1 1 
1 1 0 0 
1 1 0 1 
1 1 1 0 
1 1 1 1 
 
4 - QUESTOES 
 
1 – Por que há a necessidade de um dispositivo que apresente o terceiro estado de saída? 
 
 
 
 
 
 
2 – Pesquisar as portas lógicas que são vendidas comercialmente já implementadas com 
um terminal de habilitação da saída do tipo three state 
 
 
 
 
 
 
LEDs indicadores de nível lógico 
A 1 
B 2 
3 
74 86 
1 
2 
3 
74 86 
2 
1 
74LS125 
3 
E A B 74 00 
1 
2 
3 
 
 24 
AULA 6 
 
Projeto final etapa 1 (Circuito Decodificador e Display) 
 
Objetivo: Implementar o Decodificador e Display conforme figura 1. 
 
1. Fundamentos Teóricos: conforme Referência Livro Texto: Capítulo 9.2 
 
EXPERIÊNCIA 1: Projetar e testar um decodificador BHEXA (CI 9863) 
 
 
SOLUÇÃO para o projeto experiência 1: 
 
PASSO 1: Construir a tabela verdade na pagina a seguir: (considerando as entradas os 4 
bits A B C D e as saídas os 7 segmentos a b c d e f g do display) para que seja 
mostrado os números (hexadecimais 0 a F) no display que em decimais 
correspondem aos números N (0 a 15) 
 
PASSO 2: Usando mapa K, encontrar os circuitos correspondentes para cada um dos 
segmentos do display. 
 
PASSO 3: Usando o Ci 9368 do Modulo de teste, testar o funcionamento do decodificador. 
 
 25 
 Execução da Experiência 1: 
 
Passo 1: Tabela verdade: 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
Na página a seguir a solução para as saídas f , g 
 Terminar em casa o exercício: Achar e registrar a solução para as saídas a, b, c, d, e. 
A B C D a b c d e f g 
12 
13 
14 
15 
8 
9 
10 
11 
4 
5 
6 
7 
0 
1 
2 
3 
0 
0 
0 
0 1 0 
0 
1 
0 
1 
0 
1 
0 
1 
1 1 
1 1 0 
1 1 1 
0 
1 
0 
1 
0 
0 
0 
0 
1 
1 
0 
0 
1 
1 
0 
0 
1 
1 
1 
1 
1 
1 
1 
1 
1 
1 
0 
0 
0 
0 
1 
1 
1 
1 
0 
0 
0 
0 
0 
0 
0 
0 
N 
display 
a 
f g b 
e c 
d 
display 
 
 26 
Passo 2 : Usando mapa K, encontrar os circuitos correspondentes para cada um dos 
segmentos do display. 
 
 
 
 
 
 
 
 
 
 
 
 
 
a = b = 
 
 
 
 
 
 
 
 
 
 
 
c = d = 
 
 
 
 
 
 
 
 
 
 
 
e = 
 
 f = 
 
 
 
 
 
 
 
g = 
CD CD CD CD 
0,0 0,1 1,1 1,0 
A B 0,0 0 0 1 1 
AB 0,1 1 1 0 1 
AB 1,1 0 1 1 1 
A B 1,0 1 1 1 1 
 AB+ AB C+AD + BC + C D 
CD CD CD CD
0,0 0,1 1,1 1,0
AB 0,0
AB 0,1
AB 1,1
AB 1,0
CD CD CD CD
0,0 0,1 1,1 1,0
AB 0,0
AB 0,1
AB 1,1
AB 1,0
CD CD CD CD
0,0 0,1 1,1 1,0
AB 0,0
AB 0,1
AB 1,1
AB 1,0
CD CD CD CD
0,0 0,1 1,1 1,0
AB 0,0
AB 0,1
AB 1,1
AB 1,0
f CD CD CD C D 
0,0 0,1 1,1 1,0 
AB 0,0 1 0 0 0 
AB 0,1 1 1 0 1 
AB 1,1 1 0 1 1 
AB 1,0 1 1 1 1 
 A BC + AB+ C D+BD + AC 
CD CD CD CD
0,0 0,1 1,1 1,0
AB 0,0
AB 0,1
AB 1,1
AB 1,0
a b 
c d 
e 
g 
 
 27 
Passo 3: Procedimentos Experimentais: 
 
Teste do display e decodificador HEXA (CI 9368 catodo comum) do Modulo 8110, 
preenchendo na tabela verdade abaixo a coluna Resultado MOD e compare com o 
Previsto. 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 fig 1 
 
  etapa 1 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
. . . . . . . .
L3 L2 L1 L0
A A B B C C D D
1 1 1 1
A B C D
0 0 0 0
PREVISTO CHAVES RESULTADO
A B C D CI MOD
CI 
BCD
mod 
Hexa
0
0
0
0
0
0
0
0
1
1
0
0
0
0
1
1
1
1
0
0
1
1
1
1
1
1
1
1
0
0
1
1
0
0
1
1
0
1
0
1
0
1
1 1 1
1 1 0
0
1
1 1
0
0
0
0
0
0
1
0
1
0 1 0
SINAL DISPLAY
DECODIFICADOR
MONITORES
SOMADOR /
SUBTRATOR
REG B
PULSOS 2
GERADORES 
DOS SINAIS 
DE 
CONTROLE
PULSOS 1 CONTADOR BCD
REG A
SELETOR 3:1
BCD
MODO
`+/-
Decodificador 
 
 28 
EXPERIENCIA 2: Projetar e testar o Decodificador BCD e Display de 7 seguimentos 
 
 
 
 
SOLUÇÃO para o projeto experiência 2: 
 
 
PASSO1: Construir a tabela verdade na pagina a seguir: (considerando as entradas os 4 
bits A B C D e as saídas os 7 segmentos a b c d e f g do display) para que seja 
mostrado os números decimais 0 1 2 3 4 5 6 7 8 9 no display 
 
 
PASSO 2: Usando mapa K, encontrar os circuitos correspondentes para cada um dos 
segmentos do display. 
 
 
PASSO 3: Usando o CI 7448 ou 7447 interligando-o ao display de 7 segmentos no 
Modulo do projeto final, testar o funcionamento do decodificador e display (etapa 1 do 
projeto final) 
 
 29 
Execução da Experiência 2: 
 
Passo1: tabela verdade 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 g = 
 
 
 
 
 
 
 
 
 
 
 
 
 
 = f 
 
 
A solução para as saídas f , g é mostrada acima  Terminar exercício: Achar a solução 
para as saídas a, b, c, d, e. 
 
PASSO 2: Usando mapa K, encontrar os circuitos correspondentes para cada um dos 
segmentos do display. 
 
CD CD CD CD 
0,0 0,1 1,1 1,0 
AB 0,0 0 0 1 1 
AB 0,1 1 1 0 1 
AB 1,1 X X X X 
AB 1,0 1 1 X X 
D B C B C B A 
D C C B C B A 
   
   
A B C D a b c d e f g 
opcional 
opcional 
opcional 
opcional 
opcional 
opcional 
0 
0 
0 
N 
0 1 0 
1 1 
0 
1 1 0 
1 
1 1 1 
0 
1 
0 
1 
0 
1 
0 
1 
0 
1 
0 
0 
0 
0 
1 
1 
0 
0 
1 
1 
0 
0 
1 
1 
1 
1 
1 
1 
1 
1 
1 
1 
0 
0 
0 
0 
1 
1 
1 
1 
0 
0 
0 
0 
0 
0 
0 
0 
a 
f g b 
e c 
d 
 
 30 
a b 
 
 
 
 
 
 
 
 
 
 
 
 
 
a = b = 
c d 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
c = d = 
 
 e 
 
 
 
 
 
 
 
 
 
 
 
 
 
e = 
 
 
CD CD CD CD 
0,0 0,1 1,1 1,0 
AB 0,0 
AB 0,1 
AB 1,1 
AB 1,0 
CD CD CD CD 
0,0 0,1 1,1 1,0 
AB 0,0 
AB 0,1 
AB 1,1 
AB 1,0 
CD CD CD CD 
0,0 0,1 1,1 1,0 
AB 0,0 
AB 0,1 
AB 1,1 
AB 1,0 
CD CD CD CD 
0,0 0,1 1,1 1,0 
AB 0,0 
AB 0,1 
AB 1,1 
AB 1,0 
CD CD CD CD 
0,0 0,1 1,1 1,0 
AB 0,0 
AB 0,1 
AB 1,1 
AB 1,0 
 
 31 
Passo 3: procedimentos experimentais 
 
3.1 Montar no módulo do projeto final um dos Displays de 7 segmentos (layout abaixo): 
 
CI 7448  display Catodo comum CI 7447  display Anodo comum 
Display acende com nível 1 (+5Vcc) Display acende com nível 0 (terra) 
 
 
 
 
 
 
 
 
 
 
 
Nunca ligar direto na fonte + 5V - Para testar os displays sem o usar um dos CIs monte o 
fios de +5V (Vcc) e 0V (terra) no módulo com um resistor de 360 OHM. 
 
3.2 Identifiquem no kit de montagem do projeto final qual o decodificador BCD (CI 7448 
– catodo comumalimentação display TERRA, ou 7447 – anodo comumalimentação 
display 1,5V) que esta disponível, e monte o circuito do esquema correspondente 
fazendo a interligação do CI ao display e um barramento com as chaves 
 +5v 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 0 conectado 1  em aberto 
 
 
 
 
 
a b 
c 
d 
f 
e 
g 
a 
 
 
 
b 
g f 
e d c n/c 
a b 
c 
d 
f 
e 
g 
a b 
g f 
e d c n/c 
R +5v 
a
f g b
e c
d
 
 32 
3.3 Testar o circuito preenchendo com as figuras na coluna resultado CI da tabela 
abaixo: 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 fig 1 
 
  etapa 1 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
. . . . . . . .
L3 L2 L1 L0
A A B B C C D D
1 1 1 1
A B C D
0 0 0 0
PREVISTO CHAVES RESULTADO
A B C D CI MOD
CI 
BCD
mod 
Hexa
0
0
0
0
0
0
0
0
1
1
0
0
0
0
1
1
1
1
0
0
1
1
1
1
1
1
1
1
0
0
1
1
0
0
1
1
0
1
0
1
0
1
1 1 1
1 1 0
0
1
1 1
0
0
0
0
0
0
1
0
1
0 1 0
SINAL DISPLAY
DECODIFICADOR
MONITORES
SOMADOR /
SUBTRATOR
REG B
PULSOS 2
GERADORES 
DOS SINAIS 
DE 
CONTROLE
PULSOS 1 CONTADOR BCD
REG A
SELETOR 3:1
BCD
MODO
`+/-
Decodificador 
A
3
 A
2
 A
1 
 A
0
 
 
 33 
AULA 7 
Circuitos XOR e XNOR; Aplicações. 
 
Objetivo: Circuitos XOR e XNOR; Aplicações: Gerador e Teste de paridade; Circuitos T/C. 
 
Fundamentos Teóricos: conforme Referência Livro Texto: Capítulo 4.6 a 4.8 
 
Experiência 1: CIRCUITO GERADOR DE PARIDADE PAR. 
 
Procedimentos experimentais 
 Testar o funcionamento do módulo ou kit de montagem 
 Colocar o(s) CI(s) no módulo ou kit de montagem e testar as portas do CI 7486 
Layout abaixo (roteiro Aula 1) 
 Numerar as portas no esquema do circuito gerador de paridade Par para 4 bits 
ABCD 
 Montar o circuito gerador de paridade para 4 Bits ABCD e verificar o funcionamento 
preenchendo a coluna res. da tabela verdade. 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 prev res. 
A B C D S S 
0 0 0 0 0 
0 0 0 1 1 
0 0 1 0 1 
0 0 1 1 0 
0 1 0 0 1 
0 1 0 1 0 
0 1 1 0 0 
0 1 1 1 1 
1 0 0 0 1 
1 0 0 1 0 
1 0 1 0 0 
1 0 1 1 1 
1 1 0 0 0 
1 1 0 1 1 
1 1 1 0 1 
1 1 1 1 0 
Vcc
14 13 12 11 10 9 8
7486
1 2 3 4 5 6 7
 chaves gerador de paridade PAR 
A B C D 
paridade 
P 
 
 34 
Experiência 2: CIRCUITO True / Complement – T / C 
 
Usando um CI 7486 (4 portas XOR) Layout abaixo e um CI 7447 ou 7448 
(DECOFICADOR BCD) com DISPLAY de 7 segmentos; Montar um Circuito T/C 
(True/Complement) para 4 bits de entradas: B3 B2 B1 B0 , 
 
 
 
 
 
 
 
 
 
 
 Procedimentos Experimentais 
 
 Numerar no ESQUEMA abaixo do circuito T/C, os pinos das portas de acordo 
como layout (acima) do CI 7486 
 Montar o circuito T/C com 4 Bits – entradas: B3 B2 B1 B0 no modulo do projeto final 
 Interligue o circuito T/C com o decodificador (CI 7448/7) da Etapa 1. 
 
 
 
 
 
 
 
 
 
 
 Vcc 
14 13 12 11 10 9 8 
7486 
1 2 3 4 5 6 7 
 
 35 
 
 
 Considerando que K é chave do modo T/C verifique o funcionamento do circuito 
preenchendo as colunas K = 0 e K = 1 da tabela a seguir: 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
Obs. Números 10 a 15  figuras correspondentes (decodificador BCD) 
 
 
 PREVISTO RESULTADO 
B 3 B 2 B 1 B 0 K=0 K=1 
12 
13 
14 
15 
11 
10 
10 
11 
15 
14 
13 
12 
entr. fios coloridos 
0 
1 
0 
0 
0 
1 
1 
1 
1 
0 
1 
0 
0 
1 0 0 
1 1 
0 
0 
1 1 1 
1 1 0 
0 
1 0 
0 
1 
1 
0 
0 
0 
1 
1 
1 
1 
1 
0 
1 
1 
1 
1 
1 
1 
0 
1 
1 
0 
0 
0 
0 
0 
0 
0 
1 
1 
K=T K=C 
0 
0 
0 
0 
0 
 
 36 
AULA 8 
 
Projeto final etapa 2 (Circuito somador e subtrator) 
 
 
Objetivo: Mostrar e testar CI 7483 Somador Binário Paralelo de 4 bits e implementar um 
circuito somador / subtrator (fig 1) com um CI 7483 e um CI 7486 (usado na etapa 1 como 
T/C) 
 
Fundamentos Teóricos: conforme Referência Livro Texto: Capítulo 4.6 a 4.8 e cap 6 
 
 
 
 
 
 
 
 
 
 
 
 
Fig 1 
 
 
 
 
 
 
 
 etapa 2 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 DECODIFICADOR 
SELETOR 3:1 
 MONITOR 
 
GERADOR 
DE SINAISDE 
CONTRÔLE 
PULSO 2 
PULSO 1 
 
SOMADOR / 
SUBTRATOR BCD 
MODO 
+ / - 
REG A REG B 
 SINAL DISPLAY 
 CONTADOR BCD 
I 
 
 37 
Procedimentos experimentais: 
 
 EXPERIENCIA 1: teste do circuito somador 
binário pleno de 4 bits 
 Coloque um CI 7483 (layout ao lado) no 
modulo do projeto final ligando a 
alimentação pinos 12- terra (0) e 5 - +5v 
(1). 
 
 Complete o esquema (abaixo) Numerando no circuito somador de acordo com os 
pinos do layout do CI 7483 acima. 
 Fazer a interligação do barramento de terra no SOMADOR (CI 7483)com 4 fios p/ 
A3 A2 A1 A0 e 4 fios para a entrada B3 B2 B1 B0 Lembre que ligado no terra é 0 e 
desligado (aberto) 1. 
 Interligue as saídas do somador nos pinos do Decodificador CI 7448 / 47; o pino C4 
no “ponto” do display e o pino C0 no terra. 
 
 
 Escreva abaixo 2 somas de dois números (A e B) de 4 
bits,S = A + B no modelo:  
 Teste o circuito descrevendo e executando as contas 
abaixo, destacando os resultados intermediários e no 
final desenhando o display. 
 
A= B= 
 
A= B= 
 
S= A + B S < 9 
 
S= A + B  S > 9 
 
 
 
 C 4 
 A 3 A 2 A 1 
 A 0 B 3 
 B 2 B 1 
 B 0 C 0 
 1 
 
 3 
 
 2 
 
 0 
 
16 
 15 14 13 12 11 10 9 
1 
 2 3 4 5 6 7 8 
5 V 
 
7483 
 
 
 38 
EXPERIENCIA 2: CIRCUITO SOMADOR / SUBTRATOR DE 4 Bits 
 
Procedimentos Experimentais 
 
1. Interligue agora um CI 7486 (repetindo a numeração dos pinos) usado na AULA 6 
como T/C, ao CI 7483 (repetindo a numeração dos pinos folha anterior) para 
implementar o circuito somador / subtrator conforme esquematizado abaixo: O no. B 
agora é conectado no 7486 (T/C) 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
2. Escreva abaixo 3 somas de dois números (A e B) de 4 
bits,S=A+B no modelo:  
 
3. Com a chave modo T = C0 = 0 Teste o circuito 
descrevendo e executando as contas abaixo, 
destacando os resultados intermediários e no final 
desenhando o display. 
A= B= 
 
A= B= 
 
A= B= 
S= A + B  S = 9 
 
S= A + B9< S <15 
 
S= A + B  S > 15 
 
 
 
 
 
 
B3 
 
B2 
 
B1 
 
B0 
 
 
 
 39 
4. Com a chave modo C = C0 = 1  o circuito executa uma subtração: 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
5. Escreva abaixo 3 subtrações de dois números (A e B) de 4 bits,S = A - BA + (- B) 
no modelo: 
 
6. Com a chave modo C = C0 = 1, Testar o 
circuito, descrevendo e executando as contas 
abaixo, destacando os resultados intermediários e 
no final desenhando o display. 
 
A= B= 
 
A= B= 
 
A= B= 
S= A - B  A = B 
 
S= A - B  A > B 
 
S= A - B  A < B 
 
 
 
 
 
 
 
 
 
 
 
 
B3 
 
B2 
 
B1 
 
B0 
 
 
 
 40 
AULA 9 
Projeto final etapa 3 (Correção BCD e sinal) 
 
Objetivo: implementar a correção do código BCD (quatro bits  decimais de 0 a 9) quando 
o resultado da soma for maior que 9 para visualização em decimal (display de 7 
segmentos); visualização do sinal de negativo e obtenção do numero positivo (módulo) 
para resultados de subtração A – B quando o número B > A. 
 
 
 
 
 
fig 1 
etapa 3 projeto final  
 
 
 
 
 
 
 
 
1. Fundamentos Teóricos: Nesta etapa fazemos a descrição de um exemplo de projeto de 
circuitos combinacionais e aritméticos através da solução do seguinte exercício: 
 
A partir de um Somador / Subtrator binário paralelo de dois números A e B de quatro bits 
(obtido na 2ª etapa); Projetar a Correção do código BCD na soma A+B > 9, a visualização 
do sinal de negativo e obtenção do numero positivo (módulo) na subtração A – B quando o 
número B > A , para visualização do resultado em decimal considerando que: 
 
I – Na Soma 
- Os algarismos decimais A e B a serem somados estão no intervalo de [0 a 9] 
- O resultado de cada soma será de 02 (dois algarismos) e deverá ser mostrado em 
displays de 7 segmentos prevendo dois casos: 
1. Quando o resultado da soma for menor ou igual a 9 deve ser mostrado apenas o 
algarismo da direita. 
2. Quando o resultado da soma for maior que 9 o algarismo da esquerda, deverá ser 
apenas “1” (ié o vai 1) e o algarismo da direita, deverá ser o resultado corrigido 
para visualização em BCD. 
 
II – Na Subtração 
- Os algarismos decimais a serem subtraídos estão no intervalo de [0 a 9] 
- O resultado de cada subtração será de 1 algarismo que deverá ser mostrado no 
display da direita e quando este resultado for negativo deverá ser feito a sinalização 
com uso de SMC 2 e o sinal do resultado ( - ) mostrado no display da esquerda. 
 
 DECODIFICADOR 
SELETOR 3:1 
 MONITOR 
 
GERADOR 
DE SINAIS 
DE 
CONTRÔLE 
PULSO 2 
PULSO 1 
 
SOMADOR / 
SUBTRATOR BCD 
MODO 
+ / - 
REG A REG B 
 SINAL DISPLAY 
 CONTADOR BCD 
I 
 
 41 
Solução: 
 
Considerando que os circuitos Somador / Subtrator binário paralelo de 4 bits (Aula 7) 
realizam a soma de dois números A e B de 4 bits fornecendo uma saída composta de 5 
bits onde chamamos de soma os quatro bits menos significativos ( S 3 S 2 S 1 S 0 ) e o 
quinto bit S4 de estouro C4 conforme abaixo: 
C4 C3 C2 C1 C0 
 A3 A2 A1 A0 
 B3 B2 B1 B0 
S4 ( S3 S2 S1 S0 ) 
1 Para a Soma 
 
Obtenção do algarismo da esquerda (acender ou não o no. 1 ou seja, o vai 1 na soma) 
 
 O algarismo da esquerda deve acender se e somente se estivermos fazendo uma soma 
E esta soma for maior que 9, portanto: 
 
- Passo 1: Podemos usar uma porta ( E ) AND com inversor numa entrada para 
habilitá-la a transmitir o nível que estiver na outra entrada, ou seja se K = C0 = 0 
(sendo K a chave modo (T/C) da soma no Somador / Subtrator projetados na etapa 2 
(Aula 7)  nível 0 invertido  nível 1 libera a porta ( E ) AND para a outra entrada. 
 
- Passo 2: Na outra entrada da chave AND o nível deve ser 1 se a soma > 9 ( OU ) se a 
soma > 15 * (ocorre o estouro  C4 = 1) então usamos um (OR) que permitirá passar 
adiante o nível “1” para a saída do ( E ) que interligada convenientemente nos 
segmentos b e c do display da esquerda irá acender o algarismo da esquerda ( 1 ): 
 
* Observação: em circuito somador o resultado pode ser menor que 9 entretanto ser ao 
mesmo tempo maior que 15, por exemplo: Resultado = 17  1 0010 os 4 bits menos 
significativos da soma corresponde ao numero 2 < 9 e o quinto bit é o estouro C4 = 1 
significa que o numero em decimal é maior que quinze. 
 
Esquematizando conforme descrito acima: 
Ligar o C0 na 1ª Entrada do AND se for soma (C0 = 0)  1 libera 
Ligar na 2ª Entrada do AND a saída do OR  se a soma passar de 9 (OU) passar de 15 
 
 
 
 
 
 
 
 
 
 
 
1 p/ display 
da esquerda
acende 
algarismo da 
esquerda
se for soma Co = 0 1 libera
se for subtração Co = 1 0 trava
se for soma Co = 0 1 libera
se for subtração Co = 1 0 trava
1 quando soma passar de 9
carry C'4 = 1
indica se passar de 15
1
1 quando soma passar de 9
carry C'4 = 1
indica se passar de 15
1
carry C'4 = 1
indica se passar de 15
1
 
 
 42 
Considerando o esquema do primeiro Somador/ subtrator que foi projetado na etapa 2 
(Aula 7) acrescentamos abaixo a solução para o algarismo da esquerda. 
 
- Passo 3: Achar o circuito que vai indicar quando a soma passar de nove conforme 
abaixo: 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
Solução: o circuito que verifica se a 
soma ( S3 S2 S1 S0 ) é maior que 9 
pode se obtido diretamente como uso 
do Mapa de Kanought mostrado 
abaixo: 
 
 
 
 
 
 
 
 
 
 
Esquematizando a solução: S3.(S2 + S1) 
 
 
 
 
 
 
 
 
 se for soma Co = 0 1 libera 
se for subtração Co = 1 0 trava 1 p/ display 
acende algarismo da 
esquerda 
carry C' 4 
indica se passar de 15 
1 quando passar de 9 
C' 4 
 
 14 A 3 1 
 7483 A 2 3 
A 1 8 
A 0 10 
 4 
B 3 16 15 S 3 
B 2 4 2 S 2 
B 1 7 6 S 1 
B 0 11 9 S 0 
13 
C 0 = 0 1 
modo = 0 soma A+B 
modo = 1 subtração A - B 
 
T/C 
 
 ? 
S1S0
S3S2 0,0 0,1 1,1 1,0
0,0 0 0 0 1 0 3 0 2
0,1 0 4 0 5 0 7 0 6
1,1 1 12 1 13 1 15 1 16
1,0 0 8 0 9 1 11 1 10
S3.S2 + S3.S1
S3.(S2 + S1)
S 3 
S 2 
S 1 
 
 43 
Acrescentando esta solução no esquema do circuito anterior obtermos: 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
Obtenção do algarismo da direita 
 
Quando a soma for maior que 9 precisamos corrigir o código BCD para visualização em 
decimal, para isto usamos um outro somador / subtrator binário de 4 bits interligando 
convenientemente os outros circuitos para somar 0110 (6)*, conforme mostrado na próxima 
figura. 
 * o algarismo da direita deve ser mostrado no display de 7 segmentos (maior valor = 9); 
Numa soma decimal que ultrapassa a base (10), o algarismo da direita é o total subtraído 
da base (10) e o valor que ultrapassa é o transporte (ou seja o vai 1), portanto, temos que 
obter numero sinalizado (-10) usando o sistema de complemento a 2 (SMC2 = SMC 1 + 1) 
que corresponde a somar (6 ) 0110 em binário, como mostrado no exemplo abaixo: 
 
 Exemplo: 9  1001 ou seja 9+3 =(12 - 10) = 2 e vai 1, entretanto 1010 (10) 
 +3 +0011 SMC 1(inverte bit a bit)  0101 
 12 1100 (12)  Código BCD inválido +0001 ( 1) 
 + 0110 ( 6) SMC2 (6) 0110 (-10) 
 1 0010 (18) Algarismo da direita corrigido ( 2 ) 
 
 
 
1 p/ display esquerdo 
 acende algarismo da 
 esquerda  segmentos b e c 
 
 
carry 
 
C 4 
 
 1 quando passar de 9 
 
 
14 
A 3 1 
 
7483 
A 2 3 
A 1 8 
A 0 10 
 4 
B 3 16 15 S 3 
B 2 4 2 S 2 
B 1 7 6 S 1 
B 0 11 9 S 0 
13 
C0 = 0 1 
modo = 0 soma A+B 
1 subtração A - B 
 
modo = 
 
 
 
S 
 3 
S 2 
S 
 
 1 
Display 
direito 
1 libera 
0 trava 
se for soma Co = 0 
se for subtração Co= 1 
 1 se 
passar de 15 
T/C 
Display 
esquerdo 
 
 44 
Se a soma for menor ou igual que 9 o segundo somador / subtrator com o C0 = 0 somará 
zero (0000) ao binário: S3 S2 S1 S0 que vai para o display da direita sem correção. 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
Para a Subtração: 
 
Obtenção de um DETECTOR DE SINAL DA SUBTRAÇÃO A – B; Considerando os 
exemplos a seguir: 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
carry 
 
C 4 
 
 1 quando passar de 9 
 
 14 A 3 1 
 
7483 
A 2 3 
A 1 8 
A 0 10 
 4 
B 3 16 15 S 3 
B 2 4 2 S 2 
B 1 7 6 S 1 
B 0 11 9 S 0 
13 
C0 = 0 1 
modo = 0 soma A+B 
1 subtração A - B 
 
modo = 
 
 
 
S 
 3 
S 2 
S 
 
 1 
1 libera 
0 trava 
se for soma Co = 0 
se for subtração Co= 1 
 1 se 
passar de 15 
T/C 
C 
 
4 
 
sem uso 
 
 14 1 
 7483 
3 15 3 dec 
8 
10 2 2 
 
 6 1 B 
 
16 
 C 
 4 9 0 D 
 
7 
 11 
 13 
 
 
 
 T/C 
 
 
 
 
 
 1 (soma>9 ou 15) 
 
 1 
 
 soma>9 
 ou 
soma >15 
soma 
 g f a b 
e d c 
. 
 g b 
 c . 
 
 A > B 
8 - 5 = 3 0 0 1 1 
5 0 1 0 1 
1 0 1 0 c1 
1 
Q - 5 1 0 1 1 c2 
8 1 0 0 0 
1 0 0 1 1 
positivo 
C 4 resultado 
A = B 
4 - 4 = 0 0 0 0 0 
4 0 1 0 0 
1 0 1 1 c1 
1 
Q - 4 1 1 0 0 c2 
4 0 1 0 0 
1 0 0 0 0 
positivo 
C 4 resultado 
A < B 
4 - 9 = - 5 ` - 0 1 0 1 
9 1 0 0 1 
0 1 1 0 
1 
Q - 9 0 1 1 1 
4 0 1 0 0 
0 1 0 1 1 C 2 ` 0100 
`010 1 
C 4 negativo resultado = 0101 
 
 45 
Conclusão: 
 
O sinal vai ser determinado pelo valor do estouro C4, portanto, podemos obter o circuito 
que acende o segmento g do display da esquerda quando C4 = 0 conforme solução para 
tabela verdade abaixo (o sinal de menos deve acender somente quando for subtração  
modo = 1 e o resultado é negativo  C4 = 0) 
 
 
 
 
 
 
* Solução: 
 
a) Da expressão da solução acima esquematizada conforme figura a seguir temos uma 
Porta AND como uma chave: que será Habilitada se a entrada MODO ( C0 ) = 1 (Chave 
K do circuito T/C no modo subtração) e passa adiante o nível 1 quando invertemos C’4 
= 0 (o resultado é negativo), e a saída interligada ao display esquerdo no segmento g 
irá acender o sinal de – (negativo). 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
b) A saída interligada também na chave K do Circuito T/C e Co no segundo somador / 
subtrator binário de 4 bits aciona o complemento a 2 (SMC2) sobre o resultado 
negativo obtido da subtração do primeiro somador / subtrator binário de 4 bits 
corrigindo (executado o módulo do no. negativo) o resultado (algarismo da direita) que 
aparece no display da direita. 
 
 
c) O circuito do somador / subtrator usado para correção na soma deverá ser modificado 
(figura a seguir) para que a entrada do numero A seja (0000) ligada no nível 0 (terra), 
sempre que o resultado na saída do primeiro somador / subtrator for um no. negativo, 
 
C 4 MODO ? 
0 0 0 
0 1 1 C 4 . M  * S olu ç ão 
1 0 0 
1 0 0 
 
_ 
carry C 4 
C 0 = 0 1 
Para display 
esquerdo  segmento g 
Para som / sub 
de correção 
 
 46 
ou seja, C’4 = 0 e a operação que esta sendo realizada é uma subtração, ou seja, K = 
C0 = 1, permitindo que este segundo somador some 0, e neste caso execute apenas o 
complemento a 2 necessário para a correção do numero negativo para ser mostrado no 
display da direita 
 
Esquema da correção de resultado negativo de subtração e para mostrar o sinal – (menos) 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
d) A correção considerada acima somente ocorrerá quando a operação que esta sendo 
realizada é uma subtração, ou seja, K = C0= 1, que resulta em saída 0 (terra) no 
circuito ligado no display da esquerda, podemos portanto interligar esta saída na 
entrada do numero A do circuito do somador / subtrator usado para correção na soma. 
 
Considerando o esquema inicial e todas as modificações realizadas até agora é mostrado á 
seguir o Esquema completo da etapa 3 Projeto Final 
 
2. Procedimentos Experimentais: 
Observando o esquema completo mostrado na próxima figura do somador binário de 4 
bits com a correção BCD descrita nesta etapa serão necessários os seguintes CIs 7408 
AND, 7432 OR e 7404 Inversor, bem como o uso de mais um display. 
2.1 Testar o funcionamento do módulo ou kit de montagem. 
2.2 Colocar o(s) CI(s) no módulo ou kit de montagem e testaras portas do CI (Aula 1). 
2.3 Numerar todos os pinos nos esquemas abaixo (considerando a numeração já 
executada nas etapas 1 e 2) e esquematizar todas as interligações: com o 
decodificador BCD (CI 7448/7), display esquerdo, CIs da correção BCD incluindo o 
segundo conjunto somador subtrator. 
 
O esquema completo do somador binário de 4 bits com correção BCD 
 
 A - B CI 7483 CI 7483 
A 
 3 1 14 Estouro 0 1 14 
A 
 2 3 Carrier out C4 0 3 
A 
 1 8 0 8 
A 
 0 10 0 10 
CI 7486 CI 7486 
B 
 3 
T 
 / C 
T 
 / C 
 
B 
 3 / B 3 16 
S 
 3 / S 3 16 
 
1 5 
 15 
B 
 2 
 
B 
 2 / B 2 4 2 
S 
 2 / S 2 4 2 
 6 
 6 
B 
 1 
 
B 
 1 / B 1 7 9 
S 
 1 / S 1 7 9 
 
 
DISPLAY D 
B 
 0 
 
B 
 0 / B 0 11 
S 
 0 / S 0 11 
 13 13 
DISPLAY E 
 0 
 1 
 4 
 3 
 2 
 
 1 
 0 
 4 
 3 
 2 
 
 1 
 0 
 
 47 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
O circuito acima será implementado usando uma UNIDADE LOGICA E ARITMÉTICA ULA 
mostrado no próximo esquema 
 
 
 
 p/ display esquerdo 
segmentos b e c 
 
 7408 
C 4 7432 
S 2 74 32 7408 
S 1 
C' 4 C 4 sem uso 
14 
A 3 1 7483 1 7483 
A 2 3 3 15 3 
A 1 8 8 
A 0 10 10 2 2 
 5 4 5 4 1 
B 3 1 3 16 15 S 3 1 3 16 
B 2 4 6 4 2 S 2 4 6 4 9 0 
B 1 10 8 7 6 S 1 10 8 7 
B 0 13 11 11 9 S 0 13 11 11 
13 13 
C 0 = 0 1 
modo = 0 soma A+B 
modo = 1 subtração A - B 
p/ display esquerdo 
segmento g 
 
branco _ 
7408 
7404 
7404 
7486 7486 
2,5,9,12 2,5,9,12 
T/C 14 T/C 
 
14 
  
 
 
 
 
 
 
 
 7448 / 7 
 
 
 
 
 
 
 
 
Decod. 
f 
g 
a 
b 
c 
d 
e 
15 
14 
13 
12 
11 
10 
 9 
6 
2 
1 
7 
 
 
 
g 
 
 
 f 
e 
 
d 
 
g 
 
 
 f 
e 
 
d 
 
c 
 . 
 
 
 
 
e 
 
d 
 
c 
 
 
 
 
 
e 
 
d 
 
c 
 
 
 a b 
 
 
 a b 
 
 
 
g 
 f a b g f a b g f a b 
e 
 
d 
 
c 
 
. 
 
 
 48 
 
 
 
 
 
 
 
 
 
 
 
 
 
 49 
2.4 Completar o esquema do circuito com (ULA- CI 74181) conforme acima: 
 Numerar os pinos de todos os CIs (considerando a numeração já executada nas 
etapas 1 e 2) e 
 Esquematizar todas as interligações entre os pinos identificados de todos os CIs: 
decodificador BCD (CI 7448/7), display esquerdo, CIs da correção BCD incluindo a 
ULA e o conjunto somador subtrator da correção. 
 CI 74181 – Unidade Lógica e Aritmética – ULA 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
NOME DOS PINOS 
Pino E/S Descrição 
A3..A0 entrada Primeiro operando 
B3..B0 entrada Segundo operando 
S3..S0 entrada Seleção da função 
M entrada Modo: 1=lógica; 0=aritmetica 
CN entrada /Cin transporte de entrada invertido 
F3..F0 saída Resultado da operação 
A=B saída Indica que A=B 
G saída Gerou transporte (para carry look-ahead) 
P saída Propagou transp.(para carry look-ahead) 
CN+4 saída /Cout transporte de saída invertido 
 
 
 FIG 1 – LAY-OUT FUNCIONAL 74181 
Pinos 3 4 5 6 1 0 0 1  SOMA A + B 
Pinos 14, 15, 17  outras funções 
 0 1 1 0  SUBTRAI A - B 
Pinos 12, 24  alimentação 
Carry in: Cn = 1  SOMA 
 Cn = 0  SUBTRAÇÃO 
Carry out: Cn+4 = 1  SOMA < 15 ou SUBTRAÇÃO 
< 0 
Carry out: Cn+4 = 0  SOMA > = 15 ou 
SUBTRAÇÃO > 0 
 
 
3 
 4 
 5 
 6 
 
19 
 21 
 23 
 2 
 18 
 20 
 22 
 1 
 
13 
 11 
 10 
 9 
 
16 
 24 
 
12 
 
7 
 
8 
 
14 
 15 
 17 
 
S3 
 S2 
 S1 
 S0 
 
A3 
 A2 
 A1 
 A0 
 
B3 
 B2 
 B1 
 B0 
 
A=B 
 ~P 
 ~G 
 
+5V 
 
CN +4 
 
0 V 
 
M 
 
F3 
 F2 
 F1 
 F0 
 
CN 
 
 
 50 
2.5 Testar o circuito apenas com SIMULAÇÃO (NAS FOLHAS A FRENTE) da 
seguinte forma: 
 
 Descrevendo e executando as contas indicadas; 
 ESCREVENDO todos os resultados intermediários destacando o que acontece 
ULA e no SOM/SUB de correção. 
 No final desenhando o display o resultado esperado de cada conta 
 
TESTE DA SOMA S PARA AS TRES POSIBILIDADES: 
 
S=A+B PARA S<9 S=9 e S>9 
 
 
 
 
 
S=A-B PARA A>B A=B e A<B 
 
 
 
 
 
 51 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
S
 =
 A
 +
 B
 
 

 S
 <
 9
 
 
 52 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
S
 =
 A
 +
 B
 
 

 S
 =
 9
 
 
 53 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
S
 =
 A
 +
 B
 
 

 S
 >
 9
 
 
 54 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
S
 =
 A
 -
 B
 
 

 A
 >
 B
 
 
 55 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
S
 =
 A
 -
 B
 
 

 A
 =
 B
 
 
 56 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
S
 =
 A
 -
 B
 
 

 A
 <
 B
 
 
 57 
AULA 10 - Projeto final etapa 4 (Seletor) 
 
Objetivo: Circuitos Multiplexadores Digitais, e implementar seletor (etapa 4 do projeto final 
mostrado na figura 1) usando CIs 74153. 
 
1. Fundamentos Teóricos: Referência Livro Texto: Capítulo 9.7 a 9.9 
 
A figura 2 abaixo mostra o esquema de um mux 4:1 usando portas AND e OR e abaixo o 
mesmo circuito usando portas de 2 entradas (CI 7408 e 7432): 
 
 figura 1: figura 2: 
 
 
 
 
 
 
 Etapa 4 
 
 
 
 
 
 
 
 
 
 
 
 
1.1 Um MUX 4:1 como mostrado na 
figura 2 pode ser simbolizado 
conforme a seguir: 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
A 1 A 0 S 
0 0 I 0 
0 1 I 1 
1 0 I 2 
1 1 I 3 
MUX 4 : 1 
I 0 
I 1 
I 2 
I 3 
A 0 A 1 
 
 
Fig 1 – CALCULADORA BCD 
 DECODIFICADOR 
SELETOR 3:1 
 MONITOR 
 
GERADOR 
DE SINAIS 
DE 
CONTRÔLE 
PULSO 1 
PULSO 2 
 
SOMADOR / 
SUBTRATOR BCD 
MODO 
+ / - 
REG A REG B 
 SINAL DISPLAY 
 CONTADOR BCD 
I 
 
 
Mux 
4 X 1 
I 0 
I 1 
I 2 
I 3 
S 
A1 A0 
 
 
 58 
1.2 O CI 74153 possui (2) dois circuitos MUX 4X1 conforme layout mostrado a seguir: 
 
Layout do CI 74153 (2 x MUX 4: 1) 
 
 
 
 
 
 
 
 
 
 
 
 
 
 Considerando que para o projeto do SELETOR temos que selecionar 3 rotas, sendo 
uma de cada vez para encaminhar os 4 bits do numero A, B e do resultado da Soma ou 
Subtração para decodificação no Display (da direita), e que cada CI só encaminha 2bits para a suas duas saídas, então precisamos de 2 CIs 74153 (dois MULTIPLEX 4:1) 
que permitirá com a interconexão do respectivos endereços A1 A0 encaminhar em 
paralelo os 4 bits (cada mux 4:1 encaminha 1 bit). 
CI 74153 
2. Procedimentos Experimentais 
 
2.1 Numerar o esquema abaixo de acordo com o layout do CI 74153 acima: 
 
2.2 Usando o kit de montagem teste O MUX 1 e MUX 2 de dois CIs 74153: 
 
TESTE DO MUX 1 e MUX 2: configure as entrada de dados e endereços na tabela conforme a 
seguir: coloque I0= 0(terra), I1=I2=I3= 1(não conectados) e A1 = 0 , A0 = 0 a saída será S1= S2 = I0 = 0 apaga o led. 
Repetindo este procedimento para os outros valores de I0 , I1, I2, I3, e A1 e A0 
 
I0 I1 I2 I3 A1 A0 IS1 IS2 
0 1 1 1 0 0 0 0 
1 0 1 1 0 1 0 0 
1 1 0 1 1 0 0 0 
1 1 1 0 1 1 0 0 
 
 
terra(0volts) 
 
 
 
 
 
 
 
 select 
+5V strub A0 Saída Mux 2 
16 15 14 13 12 11 10 9 
1 2 3 4 5 6 7 8 
strub A1 Saída Mux 1 
select 
74153 
 I3 I2 I1 I0 
 I3 I2 I1 I0 
 
 
A1 A0 
S2 
S1 
 
 
 
 
Mux 1 
4 X 1 
 
 
 
 
Mux 2 
4 X 1 
I0 
I1 
I2 
I3 
I0 
I1 
I2 
I3 
A1 A0 
 
 59 
2.3 Esquematizar a seguir o seletor no modulo do projeto final definindo o encaminhamento 
dos endereços e desenhando as interconexões correspondentes (exemplo mostrado em 
aula), bem como colocando a NUMERAÇÃO dos pinos do CI 74153 conforme folha 
anterior e completando a numeração já definida para a saída do somador S3S2S1S0 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
2.4 Colocar os CIs 74153 no módulo do projeto e fazer apenas as ligações da alimentação 
incluindo os strubs conforme Layout e interligar os pinos de endereçamento dos dois CIs. 
 
A conclusão desta etapa será realizada no último laboratório (interligação final do seletor 
com o somador/subtrator e os registradores A e B. e Controle). 
 
 
Mux 1 
4 X 1 
A1 A0 
 
 
 
Mux 2 
4 X 1 
A1 A0 
 
Somador 
S3 
S2 
S1 
S0 
Reg A 
 
 Q3 
 Q2 
 Q1 
 Q0 
Reg B 
 
 Q3 
 Q2 
 Q1 
 Q0 
 
7448 
 
6 - S3 
2 - S2 
1 - S1 
7 - S0 
decodificador 
DISPLA
Y 
controle 
Q1 
 
Q0 
 
 
Mux 1 
4 X 1 
A1 A0 
 
 
 
Mux 2 
4 X 1 
A1 A0 
 
74153 
74153 
 
 60 
AULA 11 - Projeto final etapa 5 (Pulso 1 e Pulso 2) 
 
Objetivo: Implementação dos dispositivos Pulso 1 e Pulso 2 (chave sem rebatimento) que 
serão usados respectivamente com clocks (gatilhos) dos dispositivos contador BCD e 
gerador de sinais de controle conforme ilustrado na figura 1- etapa 6 abaixo. 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
1. Fundamentos Teóricos: Referência Livro Texto: Capítulo 5 
 
 Flip Flop SR – ativado e desativado com nível baixo: FF– S R 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
Exemplo de Aplicação:
a) A trepidação de um 
contato mecânico
gera múltiplas
transições na
tensão; 
b) latch NAND usado
para eliminar as 
múltiplas transições
na tensão.
 
Fig 1 – E T A P A 6 
 DECODIFICADOR 
SELETOR 3:1 
 MONITOR 
 
GERADOR 
DE SINAIS 
DE 
CONTRÔLE 
PULSO 1 
PULSO 2 
 
SOMADOR / 
SUBTRATOR BCD 
MODO 
+ / - 
REG A REG B 
 SINAL DISPLAY 
 CONTADOR BCD 
I 
 
 61 
 
 Os circuitos Pulso 1 e Pulso 2 são dois flip-flop SR (lath - NAND) como chave anti-
rebatimento (debouncing – anti-ruido – sem-trepidação) conforme esquemas abaixo: 
 
PULSO 1 PULSO 2 
 
 
 
 
 
 
 
 
 
 
 
 
 
2. Procedimentos Experimentais 
 
 Testar o funcionamento do módulo ou kit de montagem. 
 
 Colocar dois CIs 7400 ( 4 portas NAND - layout abaixo) no módulo ou kit de montagem 
e testar as portas do CI (Aula 1). 
 
 Numerar os esquemas acima de acordo com o layout fig abaixo. 
 
 Montar os circuitos dos esquemas numerados acima usando um CI 7400 para Pulso 1 
e outro para o Pulso 2 no modulo do projeto final fazendo as interligações 
correspondentes. 
 
5.1 Usar um fio ligado no terra (0 v – comum) para colocar níveis 0 ou 1 (em aberto) nas 
entradas e verificar a tabela verdade abaixo: 
 
 
 
 
 
 
 
 
 
 
 
 
 
 Fazer na sequencia
S R Q Q
1 1 X X liga = imprevisível
1 0 0 1 Resetado
1 1 0 1 Repouso
0 1 1 0 Setado 
1 1 1 0 Repouso
0 0 1 1 proibido
1 1 ? ?
assume 
qq estado
 
1 2 3 
 
 62 
AULA 12 
Projeto final etapa 6 (Contador BCD) 
 
Objetivo: Implementar um dispositivo que execute a contagem de 0 a 9 (BCD) para 
introdução dos números A e B na Calculadora BCD (figura 1 – etapa 6) e um contador que 
conte na seqüência 0-1-2-3-0 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
1 Fundamentos Teóricos: Referência Livro Texto: Capítulo 5 
 
1.1 Dispositivos de Memória: CI 74LS76 (FF JK - ME) e CI 7490 contador de década 
 
1.1.1 Completar o Esquema abaixo para um circuito Contador assíncrono modulo 4 (0-3) 
com flip-flop FF JK – ME, (conforme exemplo mostrado em aula) e Numerando o 
esquema conforme os pinos no layout do CI 74LS76. 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 Fig 1 – E T A P A 6 
 DECODIFICADOR 
SELETOR 3:1 
 MONITOR 
 
GERADOR 
DE SINAIS 
DE 
CONTRÔLE 
PULSO 1 
PULSO 2 
 
SOMADOR / 
SUBTRATOR BCD 
MODO 
+ / - 
REG A REG B 
 SINAL DISPLAY 
 CONTADOR BCD 
I 
 
Q0 Q1 
pr1 pr0 cl1 cl0 
Q0 Q1 
ck1 Ck0 J1 
J0 K0 K1 
74LS76 
2 1 3 4 5 6 7 8 
9 10 11 12 14 15 16 13 
V c c 
 
Q1 Q0 
Q1 Q0 
K1 K0 
J1 J0 
 
 63 
O circuito acima pode ser usado como Gerador de Sinais de Controle no Projeto, entretanto 
vamos usar o Contador Síncrono a ser Projetado na 8a etapa. 
 
Para o simular o teste do circuito siga a sequencia da tabela para cada transição negativa 
de clock. 
Lay out – CI 7476 
 
 
 
 
 
 
 
 
 
 
 
 
 
1.1.2 Esquematizar usando FF-JK – ME, um contador de faixa (0-9) assíncrono 
completando o desenho (conforme exemplo mostrado em aula) e Numerando as 
portas conforme layout do CI 74LS76 acima. 
 
 
 
 
 
 
 
 
 
 
 
 
 
Para o simular o teste do circuito siga a sequencia da tabela para cada transição negativa 
de clock. 
 
 
Questão: descreva á seguir quais são os CIs que utilizados 
para este projeto (contador de faixa (0-9) assíncrono) 
 
 
 
 
 
 
Q0 Q1 
pr1 pr0 cl1 cl0 
Q0 Q1 
ck1 Ck0 J1 
J0 K0 K1 
74LS76 
2 1 3 4 5 6 7 8 
9 10 11 12 14 15 16 13 
V c c 
 
Ck S1 S0
sem 0 0 0 inicio --> clear = 0
0 0 0 clock em 1 Hz
0 1 1
1 0 2
1 1 3
0 0 0
 comb. 
Q3 Q2 Q1 Q0 
0 0 0 0 0 
1 0 0 0 1 
2 0 0 1 0 
3 0 0 1 1 
4 0 1 0 0 
5 0 1 0 1 
6 0 1 1 0 
7 0 1 1 1 
8 1 0 0 0 
9 1 0 0 1 
10 1 0 1 0 
Q
1 
Q
0 
Q1 Q0 
K
1 
K
0 
J
1 
J
0 
Q
1 
Q
0 
Q3 Q2 
K
1 
K
0 
J
1 
J
0 
 
 64 
OBSERVAÇÃO: Usaremos um Contador de década do CI 7490 (LAYOUT ABAIXO) no 
Projeto final etapa 6 Contador BCD. 
 
 
CI 7490 
(CONTADOR DE DECADA PSEUDO-SÍNCRONO)  Lay out CI 7490 
 
Funcionamento normal: 
 Os pinos 2 e 3 (interligados) e conectados na posição 0 (terra) 
 Os pinos 6 e 7 (interligados) e conectados na posição 0 (terra) 
 
Zerar o contador: 
 Retirar e voltar para o terra os pinos 2 – 3 
 
Colocar a saída em nove: 
 Retirar e voltar para o terra os pinos 6 – 7 
 
 
Projeto do Contador BCD: - COMPLETAR esquema na próxima pagina de umcircuito 
contador de década considerando CI 7490 layout (ACIMA). 
Sugestão: (linhas tracejadas – – ) Fazer a interligação do Q0 como o clock síncrono do 
contador Q3 Q2 Q1 e ligar o Ck de entrada do contador Q0 usando o clock gerado pelo 
circuito Pulso 1 (etapa 5) 
 
1.1.3 Numere o desenho do esquema conforme Layout do CI 7490 e interligue com 
circuito Pulso 1 (etapa 5) repetindo a numeração dos pinos (CI 7400 ) 
 
 
 
0, 1, 2, 3, 4 
1 
0 0 1 
chave A chave B 
( 0 ) ( 9 ) (normal) (normal) 1 
14 
2 
13 
3 
12 
4 
NC 
NC 
11 
5 
+5V 
10 
6 
9 
7 
8 
0, 1 
 
 65 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
Q
0
 
 
 
 
P
u
l
s
o
 
1
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 7
4
0
0
 
 
 
 
 
 
 
5
 
=
 
+
5
V
 
A
L
I
M
E
N
T
A
Ç
Ã
O
 
1
0
 
2
 
3
 
6
 
7
 
M
A
N
T
É
M
 
Q
2
 
 
 
Q
3
 
 
Q
1
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 66 
2 Procedimentos Experimentais 
 
2.1 Testar o funcionamento do módulo ou kit de montagem. 
 
2.2 Testar o Contador assíncrono modulo 4 (0 a 3) verificando a tabela verdade 
correspondente. 
 
2.3 Monte o circuito esquematizado no item 1.1.3 contador BCD (CI 7490) no modulo do 
projeto final, interligando Q3 Q2 Q1 Q0 respectivamente aos pinos 6, 2, 1, 7 do dec 
BCD (7448) para visualização no Display. 
 
2.4 Teste o contador BCD verificando a tabela verdade correspondente. 
 
 
 
 
 
 
 
 
 
 
 
Verifique que o circuito dever seguir a seqüência da 
tabela para cada transição negativa de cl 
 
 
 
 
 
 
 
2.5 Instale o CI 7476 e no modulo do projeto final preparando para a próxima etapa 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 Ck
comb.
Q3 Q2 Q1 Q0 se quizer começar no 0000 colocar 
0 0 0 0 0 os pinos 2 e 3 temporariamente em 1 e voltar para 0
1 0 0 0 1
2 0 0 1 0
3 0 0 1 1
4 0 1 0 0
5 0 1 0 1
6 0 1 1 0
7 0 1 1 1
8 1 0 0 0
9 1 0 0 1
0 0 0 0 0
 
 67 
 
AULA 13 Projeto final etapa 7(Gerador de sinais de controle) 
 
Objetivo: Implementar o circuito gerador de sinais de controle através do projeto de um 
contador síncrono (faixa 0-1-2-0) usando o CI 7476 (2 x FF – JK – ME) 
 
1. Fundamentos Teóricos: Referência Livro 
Texto: Capítulo 5.16 a 5.18; 7.15, 7.18 a 
7.22 
 
 
 
 
 
 
 
 
GERADOR DE SINAIS DE CONTROLE  
CONTADOR SINCRONO DE (0 a 2) 
 
 
 
 fig 1 etapa 7 
 
Projeto para um contador síncrono: Seqüência 0-1-2-0 
 
Descrever a seguir um 
Diagrama de Estados e a Solução:usando mapa de Karnough duas variáveis 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
SINAL DISPLAY
DECODIFICADOR
MONITORES
SOMADOR /
SUBTRATOR
REG B
PULSOS 2
GERADORES 
DOS SINAIS 
DE 
CONTROLE
PULSOS 1 CONTADOR BCD
REG A
SELETOR 3:1
BCD
MODO
`+/-
Gerador 
de Sinais 
de 
controle 
 
 68 
 Esquematizar a solução: fazendo as interconexões segundo os valores encontrados 
para J1, K1, J0, K0 e Numerando o desenho abaixo conforme os pinos do layout do CI 
7476. 
. 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 Interligar o Clock de entrada Ck usando o circuito Pulso 2 (indicando a numeração dos 
pinos correspondentes da Aula 5) 
 
 
2. Procedimentos Experimentais 
 
2.1 Monte o circuito do esquema numerado no item 1.1 GERADOR DE SINAIS DE 
CONTROLE (CI 7476) no modulo do projeto final, interligando as saídas Q1 e Q0 a 
dois leds (circuito Monitor). 
 
2.2 Testar o funcionamento do circuito Gerador de Sinais de Controle conferindo a 
visualização dos 3 (três) sinais de controle na seqüência 00-01-10-00 com os dois leds 
(circuito Monitor). 
 
2.3 Observar as interligações necessárias de acordo o planejamento (fig 1) visando a 
próxima etapa: 
 
Q1  Vai p/ REG A, Q0  vai p/ REGA e as duas saídas Q1 Q0 vão como entradas de 
endereço dos Mux 4:1do Seletor. 
 
 
Q0 Q1 
pr1 pr0 cl1 cl0 
Q0 Q1 
ck1 Ck0 J1 
J0 K0 K1 
74LS76 
2 1 3 4 5 6 7 8 
9 10 11 12 14 15 16 13 
V c c 
Pulso 2 
 
 
 
 
 
 
 
 
 7400 
 
Q1 Q0 
Q1 Q0 
K1 K0 
J1 
Ck 
1 1 
J0 
 
 69 
AULA 14 - Projeto final etapa 8 (Registrador A e B) 
 
Objetivo: apresentar circuitos integrados 7475, execução da etapa 8 do trabalho final no 
modulo implantando os registradores A e B. 
 
 
1. Fundamentos Teóricos: Referência Livro Texto: Capítulo 5.16 a 5.18; 7.15, 7.18 a 7.22 
 
1.1 Registradores 
 
 São dispositivos básicos de memória baseados em Flip – Flop tipo D podendo ter 
um clock acionado por nível conforme figura a seguir  
 
 
 
 
 
 
 
 
 
 
 
 
 
 
O CI 7475 – Layout abaixo possui QUATRO FLIP-FLOP TIPO D do modelo acima. 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
Ck 
0 Desabilita 
1 Habilita (enable) 
 copia o dado na saída QD 
Q 
Q D 
 
D 
 Q 2 
Q 3 
 D 2 Q 0 
 Q 1 Q 3 
 C
k 
 2 D 0 
 Q 1 
C 
 K1 
D 1 
 
Q 2 
 
D 3 
 
Q 0 
 
16 15 14 13 12 11 10 9 
1 2 3 4 5 6 7 8 
 
5 
 
7475 
 
 
 70 
 Um registrador estático de 4 (quatro) bits pode ser construído com uso de 4 (quatro) 
FF- D síncronos do CI 7475 bastando interligar os respectivos Clocks que por sua 
vez já são interligados dois a dois conforme o layout do CI acima: 
 
1.2 Completar o esquema a seguir para descrever o projeto de um Registrador estático de 
4 bits. (4 FF – D com CK síncrono). 
 
1.3 Numerar o esquema conforme os pinos do layout do CI 7475 folha anterior: 
 
 
1.4 Para concluir o projeto do registrador devemos Interligar os Clocks 1 e 2 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
1.5 O PROCEDIMENTO EXPERIMENTAL: montagem e teste deste Registrador 
conectando as saídas ao ci do decodificador/ display. 
 
 
 
Q3 
Q2 
Q1 
Q0 
Q3 
Q2 
NC 
NC 
NC 
NC 
Q1 
Q0 
D3 
D2 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
D1 
D0 
Ck 2 
D 
D 
D 
D 
Ck 2 
Ck 1 
Ck 1 
 
 71 
 
1.6 Esquematizar os circuitos para o Registrador A 
e B desenhando todas as interligações 
conforme planejamento Fig 1 e as etapas 
anteriores. 
 
 
 
 
 
1.6.1 Esquematizar o circuito para o Registrador 
A, numerando e interligando 
adequadamente: 
7475 
 
 
 
 
 
 
7490 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
* Lembrar da definição de endereçamento do seletor: 
Exemplo: Q1 Q0 = A1 A0 (seletor) 
 0 0  Resultado (soma / subtração) 
 0 1  REG A 
 1 0  REG B 
Neste exemplo o Ck aqui tem que ser o interligado no Q0 
 
Fig 1 – E T A P A 8 
 DECODIFICADOR 
SELETOR 3:1 
 MONITOR 
 
GERADOR 
DE SINAIS 
DE 
CONTRÔLE 
PULSO 1 
PULSO 2 
 
SOMADOR / 
SUBTRATOR BCD 
MODO 
+

Outros materiais

Outros materiais