Buscar

G2 – FSM G3 – Verilog - Máquina de Moore diagrama de estados - Unisinos

Preciso fazer diagrama de estados, desenhar datapath necessário e escrever Verilog para a especificação abaixo.

• Aguarda sinal de start

• Converter A e B (cada um 4 bits) para 7 segmentos e mostrar nos displays

• Conta até 6

• If A > B fazer A – B else converter AB para Gray

• Mostra resultado (A-B ou AB) no display

• Conta até 3

• If A < B volta para estado inicial else parar

 

Alguém já fez ou sabe me explicar como faço com apenas um contador e a parte final de voltar para o inicio?

💡 1 Resposta

User badge image

Marcello Miranda

shafgghsfdejdasf

0
Dislike1

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

✏️ Responder

SetasNegritoItálicoSublinhadoTachadoCitaçãoCódigoLista numeradaLista com marcadoresSubscritoSobrescritoDiminuir recuoAumentar recuoCor da fonteCor de fundoAlinhamentoLimparInserir linkImagemFórmula

Para escrever sua resposta aqui, entre ou crie uma conta.

User badge image

Outros materiais