Buscar

Processadores Superescalares

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Prévia do material em texto

1. O que é um processador superescalar?
Processadores superescalares exploram paralelismo em nível de instruções de maneira a capacitar a execução de mais de uma instrução por ciclo de clock. Este tipo de processador decodifica múltiplas instruções de uma vez e o resultado de instruções de desvio condicional são geralmente preditas antecipadamente, durante a fase de busca, para assegurar um fluxo ininterrupto.
2. O que é Superpipeline?
Uma tecnica alternativa para atingir alto desempenho no processamento, essa tecnica explora o fato de que alguns estagios de pipeline executam tarefas que requerem menos de meio ciclo de clock. Assim, um processador que implemente esta tecnica ter a a velocidade de clock dobrada, e permitira um aumento no desempenho por ter duas tarefas executadas em um unico ciclo de clock.
3. O que é um processador com capacidade de execução fora de ordem?
É uma nova arquitetura que permite um aumento de desempenho combinado à um menor consumo de energia. Possui recursos avançados que permitem um melhor desempenho por Watt. Dentre estes recursos temos uma execução mais rápida de instruções maiores, uma melhora no sistema de consumo de energia que possibilita a desativação temporária de áreas ociosas, um sistema aprimorado de predição de instruções e dados e também algumas novas instruções multimídia.
4. Qual(is) a(s) função(ões) do algoritmo de Tomasulo?
Permite conclusão fora de ordem, mas com emissão em ordem. Permite reduzir atrasos causados por diferenças detempode execução entre instruções. Elimina perigos WAR e WAW através da Renomeação de registradores (estações dereserva). Bloqueia instruções devido a perigos RAW. Permite a linearização de Loops, mesmo sem execução especulativa.
5. Descreva as estações reserva  do algoritmo de Tomasulo.
Op: código daoperação
Qj,Qk : Estações de reserva que produzirão o operando
Vj,Vk : O valor dos operandos de origem, apenas um valorentre V e Q é valido. Em instruções de carga, guarda o endereço
A : Usado para guardar informações sobre cálculo de endereços
Busy: Indica se a estação de reserva está sendo usada ou não

Continue navegando