Buscar

CIRCUITOS SEQUENCIAIS - TRABALHO 3

Esta é uma pré-visualização de arquivo. Entre para ver o arquivo original

UNIVERSIDADE ESTÁCIO DE SÁ
 
CONTADORES Assíncronos E síncronos
 
  
 
 
 
 
 
 
 
 
 
 Leonardo Breves Pinheiro - 201201463467
Rio de Janeiro 
2020 
Introdução	3
1.	CONTADORES ASSÍNCRONOS	4
1.1.	CONTADOR BINÁRIO ASSÍNCRONO DE 2 BITS:	4
a.	Contador Assíncrono Crescente de dois bits.	4
b.	Contador Assíncrono Crescente de 3 bits.	5
c.	Contador de Década ou Contador Assíncrono Crescente.	6
d.	Contador Assíncrono UP-DOWN.	7
1.2.	CONTADORES ASSÍNCRONOS DECRESCENTES	8
Para tornar o contador decrescente, basta obter os bits nas saídas /Q dos flip-flops.	8
a.	Contador de 3 a 0 (2 bits) decrescente	8
b.	Contador de 3 a 0 decrescente (outra opção)	8
c.	Contador de 7 a 0 (3 bits) decrescente	9
d.	Contador de 15 a 0 (4 bits) decrescente	9
e.	Contador de 31 a 0 (5 bits) decrescente	9
f.	Contador de 63 a 0 (6 bits) decrescente	10
1.3.	ATRASO DE PROPAGAÇÃO NOS CONTADORES ASSÍNCRONOS	10
2.	CONTADOR de MÓDULO	12
2.1.1.	CONTADOR MÓDULO 6:	12
2.1.2.	CONTADOR MÓDULO 10 ASSÍNCRONO	14
3.	CONTADORES SÍNCRONOS	16
3.1.1.	CONTADORES SÍNCRONOS CRESCENTE/DECRESCENTE	16
4.	PROJETO DE CONTADORES	19
4.1.1.	PROCEDIMENTO DE PROJETO	19
5.	APLICAÇÕES DE CONTADORES	21
Fontes / Bibliografia	25
Introdução 
Os contadores são classificados em duas grandes categorias de acordo com a forma que eles recebem os pulsos de o clock: assíncronos e síncronos. Nos contadores assíncronos, normalmente chamados de contadores ondulantes (ripple counters), o primeiro flip-flop recebe o clock por meio de um pulso de clock externo e cada flip-flop sucessivo recebe o clock através da saída do flip-flop anterior. Em contadores síncronos, a entrada de clock é conectada a todos os Flip-flops de forma que eles recebem o clock simultaneamente. Dentro de cada uma dessas categorias, os contadores são classificados principalmente pelo tipo de sequência, o número de estados, ou o número de Flip-flops no contador.
O termo assíncrono se refere aos eventos que não têm uma relação temporal fixa entre si e, geralmente, não ocorrem ao mesmo tempo. Um contador assíncrono é aquele no qual os flip-flop (FF) que constituem o contador não mudam de estado exatamente ao mesmo tempo porque eles não têm um pulso de clock comum.
1. CONTADORES ASSÍNCRONOS
O termo assíncrono se refere aos eventos que não têm uma relação temporal fixa entre si e, geralmente, não ocorrem ao mesmo tempo. Um contador assíncrono é aquele no qual os flip-flops (FF) que constituem o contador não mudam de estado exatamente ao mesmo tempo porque eles não têm um pulso de clock comum. 
Os contadores assíncronos podem contar para cima (crescentes) ou contar para baixo (decrescentes). Em uma contagem crescente, o estado atual i é seguido pelo estado (i + 1), enquanto que, na contagem do contador decrescente, o estado atual i é seguido pelo estado (i – 1). O que determina se o contador é crescente ou decrescente é a conexão de um flip-flop (FF) ao outro. No contador crescente a saída normal alimenta o clock (CLK) do FF seguinte, ao passo que, no contador decrescente quem alimenta o CLK do FF seguinte é a saída invertida do FF anterior. A FIG. 1 apresenta um contador crescente. Para que o mesmo contador seja transformado em decrescente, o CLK do FF B deverá ser alimentado pela saída invertida do FF A, e o CLK do FF C deverá ser alimentado pela saída invertida do FF B. A principal característica dos contadores assíncronos é que a saída de cada FF aciona a entrada de CLK do FF seguinte, sendo que a entrada do CLK externo só acontece no FF menos significativo. Esses contadores são denominados de contadores assíncronos porque os FFs não trocam de estado em exato sincronismo com os pulsos de CLK aplicados.
1.1. CONTADOR BINÁRIO ASSÍNCRONO DE 2 BITS:
Nos contadores assíncronos, os flip-flops não são disparados simultaneamente pelo controle CLOCK.
A saída de um flip-flop fornece o pulso de clock para o flip-flop seguinte. O pulso externo de clock é fornecido sempre para o flip-flop que corresponde à saída LSB.
a. Contador Assíncrono Crescente de dois bits.
Sequência de contagem  = 0, 1, 2 e 3
    Utilizando flip-flops JK master-slave sensíveis à borda de descida do controle CLOCK, temos:
Fig. 1
b. Contador Assíncrono Crescente de 3 bits.
Sequência de contagem  = 0, 1, 2, 3, 4, 5, 6 e 7
     Utilizando flip-flops JK master-slave sensíveis à borda de subida do controle CLOCK, temos:
Fig. 2
Q2 é a saída MSB e Q0 é a saída LSB.
c. Contador de Década ou Contador Assíncrono Crescente.
    Utilizando flip-flops JK master-slave sensíveis à borda de descida do controle CLOCK, temos:
Fig. 3
Sequência de estados internos :Q3 é o MSB   e  Q0 é o LSB
Fig. 4
d. Contador Assíncrono UP-DOWN.
Fig. 5
Q2 é a saída MSB e Q0 é a saída LSB.
Controle UP = 1 e DOWN = 0  temos contagem CRESCENTE.
Controle UP = 0 e DOWN = 1 temos contagem DECRESCENTE ,cuja sequência de estados é mostrada na tabela a seguir(considerando estado inicial zero):
Fig. 6
1.2. CONTADORES ASSÍNCRONOS DECRESCENTES
Para tornar o contador decrescente, basta obter os bits nas saídas /Q dos flip-flops.
a. Contador de 3 a 0 (2 bits) decrescente
Fig. 7
Contador assíncrono de 3 a 0 decrescente
b. Contador de 3 a 0 decrescente (outra opção)
Fig. 8
Contador assíncrono de 3 a 0 decrescente usando as saídas Q
c. Contador de 7 a 0 (3 bits) decrescente
Fig. 9
Contador assíncrono de 7 a 0 decrescente
d. Contador de 15 a 0 (4 bits) decrescente
Fig. 10
Contador assíncrono de 15 a 0 decrescente
e. Contador de 31 a 0 (5 bits) decrescente
Fig. 11
Contador assíncrono de 31 a 0 decrescente
f. Contador de 63 a 0 (6 bits) decrescente
Fig. 12
Contador assíncrono de 63 a 0 decrescente
1.3. ATRASO DE PROPAGAÇÃO NOS CONTADORES ASSÍNCRONOS
Cada flip-flop tem um atraso de propagação (tpd) que é o tempo desde a ativação pelo clock (transição de subida ou de descida) até a mudança da saída Q. Como nos contadores assíncronos cada flip-flop ativa o flip-flop seguinte, o atraso total será N×tpd. O tempo de propagação de flip-flops varia de 10 a 50 ns. Surgem também os “glitches” que são estados fora da sequência predeterminada para o contador.
Fig. 13
O problema da figura abaixo (Fig. 14) pode ser evitado se o período do clock for grande o suficiente. A frequência máxima deve considerar o atraso dos N flip-flops.
Fig. 14
Exemplo:
2. CONTADOR de MÓDULO
Um contador constituído por 4 FFs, por exemplo, pode contar de 0 a 15, pois temos neste caso 16 estados ou possibilidades. 
Porém um contador pode ser construído de forma a apresentar um número “N” de estados diferentes, onde N é um número inteiro qualquer. 
Desta forma um contador módulo N retorna ao estado inicial após o “enésimo” pulso de clock. Um contador módulo 6, por exemplo, retorna ao estado inicial após o 5º pulso de clock, isto é, efetivamente conta de 0 a 5. 
Para construir um contador módulo N deveremos encontrar o número de FFs para estruturar o contador, baseando-se na regra abaixo:
onde: 
N é o módulo do contador 
n é o número de FFs. 
 
 A construção de um contador módulo 10 deverá ser estruturada da seguinte forma:
Para construir um contador módulo 5 serão necessários apenas 3FFs.
2.1.1. CONTADOR MÓDULO 6:
Para um contador módulo 6, precisaremos de 3 FFs (8 estados), pois:
O contador módulo 6 conta de 0 a 5.
Como temos 3 FFs que representam 8 estados, no 6º pulso de clock o mesmo deverá reciclar, ou seja, zerar e reiniciar a contagem. A tabela da verdade a seguir mostra esse arranjo.
Fig. 15
Observa-se pela tabela acima que é mostrada a contagem de 0 a 5 e que no 6º pulso de clock ocorre a reciclagem. Isto significa que o decimal 6 não aparece no contador, pois a contagem mais alta é o binário 0101. 
 A figura a seguir mostra o circuito que representa o contador módulo 6. Trata-se de um contador assíncrono.
Fig. 16
No 6º pulso de clock temos na saída do contador QC=0, QB=1 e QA=1, onde os dados de QA e QB são introduzidos em uma porta NAND que cuja saída é ligada às entradas C (Clear) dos FFs.
Quando as entradas da porta NAND são submetidas a nível lógico 1, teremos na saída nível lógico 0, zerando o contador e reiniciando sua contagem.
2.1.2. CONTADOR MÓDULO 10 ASSÍNCRONO 
O contador módulo 10 muito utilizado na prática é conhecido também como contador de década, pois conta de 0 a 9. Para construí-lo precisamos de 4 FFs pois:
Neste caso, a contagem mais alta é 1001 binário, ou seja, após o 9º pulso de clock deve ocorrer a reciclagem.
Fig. 17
Após a contagem máxima que é 1001, quando o contador tentar chegar a 1010 (10º pulso de clock), teremos os níveis lógicos B=1 e D=1, que serão introduzidos em uma porta NAND que limpará o contador através das entradas CLEAR de cada FF. 
A figura a seguir mostra o circuito desse contador que também á assíncrono.
Fig. 18
Deveremos usar as saídas complementares dos FFs que correspondem a QA e QC, assim: 
QA’=1, QB=1, QC’=1 e QD=1 
Nas condições quando as quatro entradas da porta NAND forem submetidas a 1, teremos na saída 0, que levará todos os FFs ao resetamento.
Fig. 19
Veja a tabela da verdade a seguir.
Fig.20
3. CONTADORES SÍNCRONOS
Contador síncrono é um circuito digital formado por flip-flops em paralelos, tal que todas as entradas clocks estejam conectados na mesma fonte de clock. Graças a isso, a análise e o projeto de um contador síncrono é bem diferente da a análise de um contador assíncrono.
A regra básica de projeto de contadores síncronos é:
• Um contador síncrono deve ativar o modo “toggle” de um flip-flop somente quando todos os flip-flops de ordem menor estiverem em nível lógico alto.
 Atraso de propagação:
Exemplo:
Determine fmax para o contador síncrono da figura anterior se o tpd de cada FF é 50ns e o tpd de cada porta AND é 20ns. Compare esse fmax com o fmax de um contador assíncrono.
Devemos considerar o tpd do FF e da porta AND:
Para o contador síncrono:
Para o contador assíncrono:
3.1.1. CONTADORES SÍNCRONOS CRESCENTE/DECRESCENTE
Um contador crescente/decrescente (up/down) é aquele que é capaz de avançar nas duas direções uma determinada sequência. Um contador crescente/decrescente, algumas vezes denominado de contador bidirecional, pode ter qualquer sequência especificada de estados. Um contador binário de 3 bits que avança de forma crescente através de sua sequência (0, 1, 2, 3, 4, 5, 6, 7) podendo então ser invertida de forma que ele segue a sequência na direção oposta (7, 6, 5, 4, 3, 2, 1, 0), é uma ilustração de uma operação crescente/decrescente.
Em geral, a maioria dos contadores crescente/decrescente (up/down) pode ter o sentido da contagem invertida em qualquer ponto de sua seqüência. Por exemplo, o contador binário de 3 bits pode ser controlado para percorrer a seguinte seqüência:
A figura 21 mostra a seqüência crescente/decrescente completa para um contador binário de 3 bits. As setas indicam o movimento estado-por-estado do contador nos modos de operação CRESCENTE e DECRESCENTE. O exame de Q0 para as sequências crescente e decrescente mostra que FF0 comuta a cada pulso de clock. Portanto, as entradas J0 e K0 de FF0 são J0 - K0 – 1
Para a seqüência crescente, Q1 muda de estado no próximo pulso de clock quando Q0 = 1. Para a seqüência decrescente, Q1 muda de estado no próximo pulso de clock quando Q0 = 0. Portanto, as entradas J1 e K1 de FF1 tem que ser igual a 1 sob as condições expressas pela seguinte equação: 
 J1 = K1 0 0 = (Q0.CRESCENTE +/Q0.(DECRESCENTE)
Fig.21
Seqüência crescente/decrescente para um contador binário de 3 bits
Para a seqüência crescente, Q2 muda de estado no próximo pulso de clock quando Q0 = Q1 = 1. Para a seqüência decrescente, Q2 muda de estado no próximo pulso de clock quando Q0 = Q1 = 0. Portanto, as entradas J2 e K2 de FF2 têm que ser igual a 1 sob as condições expressas pela seguinte equação:
Cada uma das condições para as entradas J e K de cada flip-flop produz uma comutação no ponto apropriado da seqüência de contagem.
A figura 22 mostra uma implementação básica de um contador binário crescente/decrescente usando as equações lógicos agora desenvolvidas para as entradas J e K de cada flip-flop. Observe que a entrada de controle é nível ALTO para contagem CRESCENTE e nível BAIXO para DECRESCENTE.
Fig. 22
Diagrama de temporização e determine a seqüência de um contador binário crescente/decrescente síncrono de 4 bits se a entrada de clock e a entrada de controle têm formas de onda como as mostradas na Figura 8–24(a). O contador começa com todos os estados em 0 e é disparado pela borda positiva.
Fig. 23
O diagrama de temporização mostrando as saídas Q é mostrado na Figura 23 (b). A partir dessas formas de onda, a seqüência do contador é como mostra a Tabela abaixo (Fig. 24).
Fig. 24
4. PROJETO DE CONTADORES
Utilizado quando um contador deve seguir uma seqüência que não é aquela binária normal. Processo de projeto de circuitos lógicos que decodifica os vários estados do contador para fornecer os níveis lógicos para cada entrada J e K. Utiliza‐se a tabela de transição.
A tabela de transição J‐K é a parte principal do projeto de contadores síncronos.
Fig. 25
4.1.1. PROCEDIMENTO DE PROJETO
Determine o número desejado de bits (FFs), a seqüência de contagem desejada e o diagrama de estados.
Seja um contador de 3 bits (observe que os estados 101, 110 e 111 não estão incluídos).
 Diagrama de Transição de Estados
Acrescente colunas a essa tabela para cada entrada J e K. Para cada entrada atual, indique os níveis exigidos em cada entrada J e K a fim de produzir a transição para o próximo estado;
Projete os circuitos lógicos necessários para gerar os níveis requeridos em cada entrada J e K (utilize simplificações etc);
Implemente as expressões finais (circuito).
Mapas de K:
 
 
O circuito final fica então:
Fig. 26
5. APLICAÇÕES DE CONTADORES
O contador digital é um dispositivo útil e versátil que é encontrado em muitas aplicações.
Um exemplo comum de uma aplicação de contador é em sistemas de contagem de tempo. A Figura 27 é um diagrama lógico simplificado de um relógio digital que mostra segundos, minutos e horas. Primeiro, uma tensão ca senoidal de 60 Hz é convertida numa forma de onda de pulsos e dividida para uma forma de onda de pulsos de 1 Hz por um contador/divisor por 60 formado por um contador/divisor por 10 seguido de um contador/divisor por 6. Os contadores de segundos e minutos também são produzidos por contadores/divisores por 60 cujos detalhes são mostrados na Figura 28. esses contadores contam de 0 a 59 e em seguida reciclam para 0; contadores de década síncronos são usados nessa implementação em particular. Observe que a parte que divide por 6 é formada por um contador de década com uma seqüência truncada conseguida usando um decodificador da contagem 6 para resetar o contador de forma assíncrona. A contagem final (59) também é decodificada para habilitar o próximo contador da cadeia.
Fig. 27
O contador de horas é implementado com um contador de década e um flip-flop como mostra a Figura 29. Considere que inicialmente os contadores de década e o flip-flop estejam resetados e as saídas das portas de decodificação dos estados 12 e 9 estejam em nível ALTO. Os contadores de década avançam passando por todos os estados de 0 a 9 e no pulso de clock que o recicla de volta para zero, o flip-flop é setado (J = 1, K = 0). Isso faz aparecer o número 1 no display das dezenas das horas. A contagem total agora é dez (o contador de década está no estado zero e o flip-flop está setado). Em seguida, a contagem total avança para onze e em seguida para doze. No estado 12 a saída Q2 do contador de década é nível ALTO, o flip-flop ainda está setado e assim a saída da porta de codificadora do estado 12 é nível BAIXO. Isso ativa a entrada /PE do contador de década. No próximo pulso de clock, o contador de década é presetado para o estado 1 através das entradas de dados e o flip-flop é resetado (J = 0, K = 1). Como podemos ver, essa lógica sempre faz com que o contador recicle
de doze para um em vez de retornar para zero.
Fig. 28
Diagrama lógico de um contador/divisor por 60 usando CIs contadores síncronos de década 74F162. Observe que as saídas estão em ordem binária (o bit mais à direita é o LSB).
Fig. 29
Diagrama lógico para o contador de horas e decodificadores. Observe que nas entradas e saídas do contador o bit mais à direita é o LSB.
Após testar o funcionamento do frequencímetro mostrado na Figura 30, o técnico constata que a leitura é sempre zero no display, independente da frequência de entrada. Determine a causa desse mau funcionamento. O pulso de habilitação tem uma largura (duração) de 1 s. A Figura 30 (a) mostra um exemplo de como o frequencímetro deveria funcionar com uma forma de onda digital de 12 Hz na entrada de uma porta AND. A parte (b) da mesma figura mostra que o display indica indevidamente 0 Hz.
Fig. 30
Fig. 31
Solução:
1. Um nível ativo ou acionado constante na entrada de resete, o que mantém o contador em zero.
2. Sem sinal na entrada do frequencímetro devido a um circuito aberto ou curto-circuito interno ao frequencímetro. Esse problema impediria o contador do frequencímetro de avançar após sofrer um resete para zero.
3. Não existe sinal na entrada do contador devido a um circuito aberto na saída da porta AND ou devido à ausência de sinal na entrada, impedindo novamente o contador de avançar a partir do zero.
O primeiro passo é garantir que VCC e GND estão conectados nos pontos certos; considere que eles estejam corretamente conectados. Em seguida, verifique os pulsos nas duas entradas da porta AND. O osciloscópio indica que os pulsos estão corretos nas duas entradas. Uma verificação na entrada de resete do contador mostra um nível BAIXO que é o nível não ativo e, portanto, esse não é o problema. A verificação do pino 3 do 74LS08 mostra que não existem pulsos na saída da porta AND, indicando que a saída da porta está aberta. Substitua o CI 74LS08 e verifique a operação novamente.
Fontes / Bibliografia
file:///C:/Users/F8054723/Downloads/Aula%2002%20-%20Flip-Flops%20-%2025042016.pdf
http://cee.uma.pt/edu/el2/acetatos/Multivibradores.pdf
http://www.professores.uff.br/lbertini/wp-content/uploads/sites/108/2017/08/Capitulo-6-Circuitos-Sequenciais.pdf
https://www.ezuim.com/pdf/cntmod_n.pdf
https://www.ufjf.br/daniel_silveira/files/2011/06/aula_8.pdf
Classificado como Uso Interno

Teste o Premium para desbloquear

Aproveite todos os benefícios por 3 dias sem pagar! 😉
Já tem cadastro?

Continue navegando