Buscar

4435b894-dba8-4066-b436-79d20998b6e2

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes
Você viu 3, do total de 24 páginas

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes
Você viu 6, do total de 24 páginas

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes
Você viu 9, do total de 24 páginas

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Prévia do material em texto

ELETRÔNICA 
DIGITAL
Marlon Leandro Moraes
Dispositivos de memórias
Objetivos de aprendizagem
Ao final deste texto, você deve apresentar os seguintes aprendizados:
  Identificar os princípios de operação de dispositivos de memória.
  Analisar conexões CPU–memória.
  Caracterizar os diferentes tipos de memória.
Introdução
Os dispositivos de memórias são elementos essenciais para o funciona-
mento dos computadores. Embora a Unidade Central de Processamento 
(Central Process Unit, ou CPU) seja o “cérebro” de um microcomputador, 
esse elemento central não funcionaria se não houvesse dispositivos de 
memórias conectados nos seus barramentos. Afinal, é na memória que 
as instruções executadas pela CPU são guardadas.
Neste capítulo, você vai estudar a função e a relevância dos dispositi-
vos de memórias em circuitos digitais, os seus princípios de operação e 
acesso e os seus tipos característicos. Além disso, vai entender e analisar 
como são realizadas as suas conexões nos barramentos de controle, 
endereço e dados de uma CPU.
Princípios de operação
Para entender como funcionam os dispositivos de memórias, é preciso com-
preender também a estrutura básica de um sistema computacional. A Figura 1 
apresenta o diagrama de blocos da estrutura simplifi cada de um sistema 
computacional contemporâneo, em que são destacados diversos elementos, 
como a CPU, os barramentos de controle, de endereços e de dados, assim 
como diversos tipos de memória.
Figura 1. Visão simplificada de um sistema computacional básico.
Fonte: Tokheim (2013, p. 325).
Estudar dispositivos de memória, desde a sua estrutura básica até o seu 
emprego em aplicações de circuitos digitais computacionais, exige a compre-
ensão da sua terminologia básica. Nesse sentido, será apresentado a seguir 
um breve resumo dos termos comuns no estudo dos dispositivos de memória 
(TOKHEIM, 2013).
  Célula: é o elemento primário de um dispositivo de memória, cuja 
função é reter um único bit (0 ou 1). As células possuem diversas 
características construtivas, o que resulta também em funcionalidades 
distintas. As classificações serão apresentadas a seguir neste capítulo.
  Palavra: é o agrupamento de bits que representa o tamanho da infor-
mação (dado) ou instrução. Em geral, nos sistemas computacionais 
contemporâneos, as palavras de dados/instrução variam entre 8 e 64 bits.
  Capacidade: é uma das principais métricas de especificação memória 
e define quantos bits podem ser armazenados em determinado dispo-
sitivo. Há duas formas tradicionais de apresentação da capacidade de 
uma memória: 
 ■ refere-se ao número total de bits de um dispositivo de memória, por 
exemplo, 16.384 bits (16k bits);
 ■ refere-se à organização da memória em relação ao tamanho da sua 
palavra, por exemplo, 2kx8 (2048x8). Nesse caso, o dispositivo de 
memória possui 2.048 palavras, e cada uma possui 8 bits.
Dispositivos de memórias2
Lembre-se de que k (quilo) é uma unidade de bits equivalente a 1.024 bits (210).
  Endereço: é o número que determina a localização de uma palavra 
dentro de um dispositivo de memória. Cada palavra possui um endereço 
único e exclusivo, representado por uma sequência numérica binária. 
A Figura 2 apresenta um exemplo de estrutura de organização de uma 
memória com 8 palavras, sendo o endereço 101 relativo exclusivamente 
a Palavra 5.
Figura 2. Endereçamento de um dispositivo 
de memória.
Fonte: Adaptada de Tocci (2011, p. 686).
  Leitura: é a operação de obtenção (busca) de uma palavra (informação 
e/ou dado) armazenada em determinado endereço do dispositivo de 
memória.
  Escrita: é a operação de armazenamento (registro) de uma palavra (infor-
mação e/ou dado) em determinado endereço do dispositivo de memória. 
  Tempo de acesso: é a medida de tempo relativa à operação de leitura 
de um dispositivo de memória, isto é, o tempo necessário entre a re-
quisição de leitura de uma palavra (relativa a determinado endereço) e 
a disponibilização coerente desse dado no barramento de saída.
  Memória volátil: é o dispositivo de memória que não tem a capaci-
dade de reter o dado quando os níveis de tensão de alimentação são 
3Dispositivos de memórias
suprimidos, isto é, a memória perde o seu conteúdo de dados quando 
não está alimentada.
  Memória não volátil: é o dispositivo de memória que retém os seus 
dados, independentemente dos níveis de tensão de alimentação, isto é, 
mesmo que os níveis de alimentação sejam suprimidos, o conteúdo da 
memória é preservado.
  Memória de acesso aleatório (Random-Access Memory — RAM): é 
o dispositivo de memória em que qualquer endereço de palavra pode ser 
acessado com o mesmo tempo, isto é, independentemente da posição 
física da palavra, o tempo de acesso é constante.
  Memória de acesso sequencial (Sequential-Access Memory — SAM): 
é o dispositivo de memória em que o tempo de acesso é influenciado pela 
posição física da palavra (endereço), já que, para acessar determinado 
endereço, todos os endereços anteriores devem ser lidos.
  Memória de leitura e escrita (Read/Write Memory — RWM): é o 
dispositivo de memória que permite operações de leitura e escrita nos 
seus bits.
  Memória de somente leitura (Read-Only Memory — ROM): é o dispo-
sitivo de memória que permite apenas operações de leitura nos seus bits.
  Memória estática: é o dispositivo de memória semicondutora cujos 
níveis de tensão de alimentação são suficientes para a manutenção dos 
dados. Essa memória não precisar ser reescrita periodicamente para 
preservação dos seus dados.
  Memória dinâmica: é o dispositivo de memória semicondutora cujo 
conteúdo não é preservado somente em função da manutenção dos níveis 
de tensão de alimentação. Devido à sua característica construtiva, os 
dados precisam ser reescritos periodicamente para serem preservados. 
Caso essa operação — chamada de refresh — não seja realizada, o 
conteúdo da memória é perdido.
  Memória principal: é o nome dado à memória que processa os dados 
e as instruções atuais da CPU.
  Memória auxiliar: é a memória lenta, não volátil e de grande capa-
cidade que armazena externamente à memória principal os dados e as 
instruções que serão executadas pela CPU.
Operação básica
Mesmo considerando que os dispositivos de memória têm diferenças sig-
nifi cativas entre si sob a perspectiva da estrutura de célula, da sua volati-
Dispositivos de memórias4
lidade (ou não), do tempo de acesso e do caráter de aplicabilidade técnica, 
há características operativas que são comuns a todos eles. Sem elas, as 
memórias jamais conseguiriam desempenhar as suas funções lógicas de 
forma coerente.
Para a realização de escrita ou leitura de dados em dispositivos de memória, 
um fluxo de operações deve ser seguido e respeitado. A Figura 3 apresenta 
uma representação simplificada de uma memória de 64 bits, cuja organização 
interna é baseada em 16 palavras de 4 bits cada — uma memória 16x4 bits. 
Observe também que a palavra de endereço #3 possui o dado igual a 0110. 
Figura 3. Organização interna de uma memória de 64 bits.
Fonte: Tocci (2011, p. 328).
Diante disso, você pode estar se perguntando como esse dado foi parar 
dentro da memória ou como ele sairá de dentro dela. A resposta para essas 
questões é simples: os dados são gravados no interior da memória por meio 
de uma operação de escrita e copiados por meio de uma operação de leitura 
ou busca.
5Dispositivos de memórias
Essas operações serão descritas detalhadamente a seguir, considerando o 
diagrama lógico e a tabela verdade apresentadas na Figura 4. Nela, é possível 
observar a existência de um barramento de entrada de endereços (A3, A2, A1 e 
A0) para a seleção da palavra a ser acessada (escrita ou leitura), um barramento 
de entrada de dados (D4, D3, D2 e D1) para inserção dos dados a serem escritos, 
um barramento de saída de dados para leitura dos dados ( , , e ) e, 
por fim, entradas de controle responsáveis pela habilitação da memória ( ) 
e pela seleção do tipo de acesso ( ).
Figura4. Memória TTL 7489: (a) interface de memória, (b) diagrama de pinos e (c) tabela 
verdade.
Fonte: Adaptada de Tokheim (2013, p. 330).
Dispositivos de memórias6
Para realizar a operação de escrita do dado 0110 no endereço #3 da memória, 
o seguinte sequenciamento de condições lógicas deve ser garantido.
  O barramento de entrada de endereços (A3...A0) deve receber a sequência 
numérica binária 0011.
  O barramento de entrada de dados (D4...D1) deve receber a sequência 
numérica 0110.
  Deve-se aplicar o nível lógico baixo (0) na entrada de controle de ope-
ração (Write Enable). Essa entrada, quando em nível lógico baixo 
(0), habilita a operação de escrita, conforme pode ser observado na 
tabela verdade da Figura 4(c).
  Para finalizar a operação de escrita, deve-se aplicar o nível lógico 
baixo (0) na entrada de controle (Memory Enable), cuja função é 
habilitar a memória, conforme também pode ser observado na tabela 
verdade da Figura 4c.
Para realizar a operação de leitura do endereço #3 da memória, o seguinte 
sequenciamento de condições lógicas deve ser garantido.
  O barramento de entrada de endereços (A3...A0) deve receber a sequência 
numérica binária 0011.
  Deve-se aplicar o nível lógico alto (1) na entrada de controle de opera-
ção (Write Enable). Essa entrada, quando em nível lógico alto (1), 
habilita a operação de leitura, conforme pode ser observado na tabela 
verdade da Figura 4c.
  Deve-se aplicar o nível lógico baixo (0) na entrada de controle 
(Memory Enable), cuja função é habilitar a memória, conforme também 
pode ser observado tabela verdade da Figura 4(c).
  As saídas de dados ( , , e ) são atualizadas com o valor com-
plementar do dado gravado previamente no endereço #3 da memória. 
Isso significa que, como o dado gravado foi 0110, a saída da memória 
apresentará uma sequência numérica igual a 1001.
Embora nem todas as memórias possuam barramentos de dados de escrita 
e leitura separados, nem apresentem saídas com interface complementar — e 
sobretudo não possuam nomenclaturas de barramentos idênticas às apre-
sentas nesse exemplo —, as operações de escrita e leitura tendem a seguir 
um sequenciamento bastante semelhante ao apresentado nesta seção. Na 
hora de realizar a seleção de um dispositivo de memória para o seu projeto, 
7Dispositivos de memórias
recomenda-se fortemente a leitura do datasheet para o melhor entendimento 
das características funcionais do dispositivo.
Interfaces de memória
As interfaces de um dispositivo de memória são compostas basicamente 
pelo barramento de endereços, pelo barramento de dados e pelos sinais de 
controle de operação. Conforme foi exemplifi cado na seção anterior, uma 
memória de 16x4 bits necessita de 4 bits para o endereçamento de todas as 
posições de memória (24 = 16), 4 bits para as operações de escrita e leitura de 
dados e dois sinais de controle (habilitação de memória/seleção de operação). 
Conectar uma memória em uma CPU exige o entendimento da função desses 
barramentos, bem como o entendimento e conhecimento da capacidade de 
memória necessária para o projeto em questão. 
A Figura 5 apresenta um diagrama de conexões entre uma CPU e a memória 
de 64 bits (16x4 bits). Observe que nesse diagrama tanto a memória quanto a 
CPU têm a mesma quantidade de bits de endereço e dados, fazendo com que 
se deduza que a CPU do projeto tem a capacidade de endereçar apenas 16 
palavras de 4bits cada (o que nesse caso é perfeitamente adequado). Observe 
também na Figura 5 que o barramento de dados é bidirecional, tornando-o 
responsável tanto pela operação escrita (sentido CPU para memória) quanto 
pela leitura (sentido memória para CPU).
Figura 5. Interface entre CPU e memória.
Dispositivos de memórias8
Embora esse exemplo seja bastante elementar, pois contempla dispositivos 
com uma capacidade de endereçamento bastante simples e limitada, ele pode 
ser o ponto de partida para o estudo do interfaceamento entre CPU e memórias 
cujas capacidades sejam diferentes, isto é, projetos de interfaceamento que 
permitam aumento da capacidade de endereçamento de palavras e/ou aumento 
das palavras de dado.
Associação de memórias – série
Imagine que você precisa implementar um projeto de circuito digital cuja 
capacidade de endereçamento deve ser, obrigatoriamente, de 32 palavras 
com 4 bits cada. Considere também que a CPU escolhida para esse projeto 
já possui uma interface capaz de endereçar memórias de 32x4bits, ou seja, 
um barramento de endereços de 5 bits (A5...A0). Em uma situação ideal, você 
teria à disposição para o projeto uma memória com essa mesma capacidade 
de endereçamento, ou seja, você simplesmente conectaria os barramentos de 
dados, os barramentos de endereços e os sinais de controle, e o seu projeto 
estaria funcional e fi nalizado rapidamente. 
Esse é o mundo ideal dos projetistas de hardware, no qual tudo funciona 
e está disponível na hora em que você precisa. O mundo real, no entanto, 
é bastante diferente, em função de questões econômicas e/ou técnicas. 
Nele existe um hiato bastante grande entre as necessidades e especifica-
ções do projeto, e a disponibilidade dos recursos necessários para a sua 
implementação.
Uma situação bastante comum nesse contexto é quando os projetos têm um 
requisito de endereçamento específico, mas as memórias disponíveis possuem 
uma capacidade menor (menos endereços que o necessário, por exemplo). Nesse 
caso, o projetista de hardware precisa realizar uma expansão do número de 
endereços, mantendo o tamanho da palavra de dados — chamamos isso de 
associação de memórias em série.
A Figura 6 apresenta o diagrama de conexões resultante de uma asso-
ciação em série que permite que a CPU consiga endereçar as 32 palavras 
de 4 bits cada (32x4 bits) utilizando duas memórias de 16 palavras de 4 
bits (16x4 bits).
9Dispositivos de memórias
Figura 6. Associação em série de memórias.
Observe, na Figura 6, que os bits de endereço A3, A2, A1 e A0 da CPU estão 
conectados, respectivamente, nos bits A3, A2, A1 e A0 de ambas as memórias. A 
diferença desse projeto está na existência do bit A5 do barramento de endereços 
da CPU, que não possui um correspondente nas memórias utilizadas — afinal, 
as memórias possuem uma capacidade de endereçamento menor. 
Dispositivos de memórias10
O que foi realizado nesse caso foi a criação de uma lógica de decodificação 
que permite o fracionamento dos endereços necessários pela CPU entre as 
duas memórias, conforme a tabela verdade apresentada na Figura 7.
Figura 7. Tabela verdade do circuito decodificador.
Nessa decodificação, quando a CPU estiver gerando endereços entre as 
posições 00000 e 01111, a memória #0 estará ativada, recebendo as opera-
ções escrita ou leitura, uma vez que o bit A5 estará em nível lógico baixo (0), 
condicionando a entrada para esse mesmo estado lógico. Nessa mesma 
condição de endereçamento, a memória #1 estará desativada, já que o sinal 
 está em nível lógico alto (1). 
Em contrapartida, quando a CPU estiver gerando no barramento endereços 
entre 10000 e 11111, as operações de escrita ou leitura serão realizadas na 
memória #1 ( ), enquanto a memória #0 fica desativada ( ). 
Em resumo, a CPU terá a capacidade de endereçar 32 palavras, sendo as 
16 primeiras na memória #0, quando o bit A5 for nível lógico baixo (0), e as 16 
palavras restantes na memória #1, quando o bit A5 estiver em nível lógico alto (1).
Associação de memórias – paralela
A associação de dispositivos de memória em paralelo também objetiva aumentar 
a capacidade da memória do projeto. Porém, isso é feito sob a perspectiva do 
aumento da palavra de dado, ou seja, a CPU possui a necessidade de acessar 
dados de um tamanho de palavra, mas as memórias disponíveis para a imple-
mentação possuem uma palavra de dado menor.
A Figura 8 traz um diagrama que representa a associação de duas memórias 
em paralelo, em que há a necessidade de uma palavra de 8 bits na CPU, e as 
memórias disponíveis possuem uma palavra de 4 bits.11Dispositivos de memórias
Figura 8. Associação em paralelo de memórias.
Observe que, para essa associação em paralelo, a palavra de dado da CPU, 
que possui 8 bits, é dividida em duas parcelas de 4 bits (baixa e alta). Dessa 
forma, é possível fazer com que a memória #0 receba a parcela baixa (composta 
pelos bits D3, D2, D1 e D0), e a memória #1, a parte alta (composta pelos bits 
D7, D6, D5 e D4). 
Dispositivos de memórias12
Em resumo, quando a CPU solicitar uma operação em alguma posição 
de memória, ambas as memórias serão acessadas simultaneamente: uma em 
relação à parte baixa (memória #0) e outra em relação à parte alta da palavra 
de dado (memória #1), que terá o mesmo endereço de entrada.
Tipos de memória
As memórias podem ser classifi cadas pelos materiais e pelas tecnologias que 
compõem a sua estrutura construtiva, pela sua característica de retenção de 
dados, pela posição no nível hierárquico dentro de uma concepção básica 
de arquitetura de computadores, entre outras possibilidades. Nesta seção, 
você estudará alguns tipos de memórias semicondutoras e algumas das suas 
aplicações elementares.
ROM
Uma grande parcela dos circuitos digitais microprocessados deve ter algum 
tipo de memória não volátil para registro de dados permanentes, como os 
arquivos de inicialização (bootloader) e/ou fi rmwares de sistemas embarcados. 
As memórias de somente leitura (ROM) são um bom exemplo desse tipo de 
dispositivo, já que os seus dados, depois de gravados, não podem ser sobrescri-
tos. Também chamadas de memórias programadas por máscara, as memórias 
ROM não são programadas pelo usuário fi nal, mas sim pelo seu fabricante. 
Essa característica torna o seu custo inviável economicamente para projetos 
de pequeno porte cuja comercialização unitária é reduzida.
A Figura 9 apresenta o diagrama de uma estrutura de memória ROM co-
nectada na saída de um decodificador 7442 que objetiva converter sequências 
numéricas binárias em sequências em código Gray, conforme apresentado na 
Figura 10. O funcionamento dessa memória pode ser analisado, pelo exemplo, 
onde as entradas do decodificador 7442 são submetidas ao valor lógico 0101. 
13Dispositivos de memórias
Para essas entradas, o decodificador 7442 vai gerar na sua saída número 5 
o nível lógico baixo (0), que, consequentemente, será negado pelo inversor 
conectado à saída. Como a saída do inversor será um nível lógico alto (1), os 
três diodos conectados à linha 5 serão polarizados diretamente, gerando nas 
saídas A, B e C o nível lógico alto (1). Como não existe nenhuma conexão 
entre a linha 5, mas sim um resistor de pulldown, a saída D permanecerá em 
nível lógico baixo (0). Em resumo, esse circuito baseado em uma memória 
ROM vai gerar nas saídas D, C, B e A o valor lógico 0111, conforme a tabela 
verdade apresentada na Figura 10.
Figura 9. Exemplo da estrutura primitiva de uma ROM a diodos.
Fonte: Tokheim (2013, p. 336).
Dispositivos de memórias14
Figura 10. Conversão binária em código Gray.
Fonte: Tokheim (2013, p. 333).
PROM
Como você viu anteriormente, as memórias ROM não permitem que os usu-
ários façam a programação dos dispositivos, o que certamente é um grande 
problema no processo de desenvolvimento de projetos — afi nal, correções e 
alterações muitas vezes são necessárias ao longo da vida útil de um projeto. 
Nesse sentido, as memórias ROM programáveis em campo (PROM — Pro-
grammable Read-Only Memory) trouxeram um grande avanço aos projetos 
de circuitos digitais, em função do preço atraente e principalmente por essas 
memórias poderem ser programadas pelos usuários (ainda que uma única vez). 
A Figura 11 traz uma representação simplificada de uma memória PROM 
ainda não programada. Observe que essa estrutura apresenta diodos e resistores 
de pulldown configurados de forma bastante similar à estrutura de memória 
ROM apresentada na Figura 9. A diferença entre elas está no fato de a estrutura 
da memória PROM conter fusíveis que podem (ou não) ser rompidos durante 
o processo de programação da memória, conforme apresentado na Figura 11. 
Essas características de preço e relativa flexibilidade de projeto tornaram as essas 
memórias bastante populares no desenvolvimento de projetos de circuitos digitais.
15Dispositivos de memórias
Figura 11. Representação simplificada da estrutura da memória PROM.
Fonte: Tokheim (2013, p. 342).
Dispositivos de memórias16
EPROM
O processo evolutivo das memórias de somente leitura subiu mais um degrau 
quando as memórias de leitura somente programáveis e apagáveis (EPROM 
— Erasable Programmable Read-Only) foram disponibilizadas ao mercado. 
Esse tipo de memória não volátil tem muitas semelhanças com a memória 
PROM, mas o seu grande diferencial funcional está na sua capacidade de ser 
programada e, quando necessário, ser apagada e reprogramada. 
A Figura 12 apresenta uma imagem do encapsulamento de uma memória 
EPROM. Observe que no centro do encapsulamento existe um orifício circular 
que expõe o circuito integrado da memória. Como o circuito integrado da 
memória possui uma estrutura com “gate flutuante”, ele se torna sensível à 
luz ultravioleta (UV). Em outras palavras, quando a memória é exposta por 
alguns minutos à luz UV, todos os bits da memória são apagados (colocados 
em nível lógico alto), permitindo assim a sua reprogramação. Embora esses 
dispositivos não possam ser expostos por longos períodos à luz solar, devido 
à sua sensibilidade à luz UV, o fato de serem programáveis e reprogramáveis 
os tornou muito populares para o armazenamento de arquivos de inicialização 
(bootloader) de computadores pessoais.
Figura 12. Memória EPROM.
Fonte: Dmitry S. Gordienko/Shutterstock.com.
EEPROM, ou E2PROM
As memórias EPROM foram um grande salto qualitativo nos projetos de 
circuitos digitais, uma vez que alterações funcionais e correções técnicas são 
frequentemente necessárias em qualquer projeto. O fato de as EPROMs serem 
17Dispositivos de memórias
reprogramáveis contribuiu muito para a realização dessas tarefas de alteração 
da programação. Ainda assim, esses dispositivos de memória tinham alguns 
inconvenientes operacionais, como a necessidade de exposição à luz UV para 
apagá-las e da remoção do chip da placa para a sua reprogramação.
O advento das memórias de leitura somente programáveis e eletri-
camente apagáveis (EEPROM — Electrically-Erasable Programmable 
Read-Only) solucionou essas questões. A sua estrutura lógica permite a 
reprogramação (total ou parcial) pela aplicação de um campo elétrico na 
célula de memória, isto é, o conteúdo da memória pode ser reprogramado 
no próprio circuito do produto, desde que se garantam os níveis de tensão 
específicos para isso.
Flash
A memória Flash não possui todas as características funcionais da EEPROM — 
por exemplo, não permite o apagamento de bits ou bytes específi cos, somente 
o apagamento de blocos de dados. Embora essa característica pareça ser um 
limitante quando se deseja apagar muitos dados, ela na verdade não é. As 
células de memória não volátil Flash possuem um tempo de acesso bastante 
pequeno, o que justifi ca a nomenclatura. 
O tempo de acesso por si só não garantiu o emprego desse tipo de dispo-
sitivo de memória tão massivamente como é feito atualmente. O que tornou 
a memória Flash o estado da arte nos dias de hoje (em relação a memórias 
não voláteis) é o fato de essas células de memória permitirem a criação de 
dispositivos com capacidades de dados muito mais densas que qualquer outra 
(e com um preço extremamente menor). 
Tanto é verdade essa afirmação que hoje se utiliza esse perfil de memória 
para o armazenamento de dados tanto em cartões de memória para disposi-
tivos móveis como câmeras e smartphones quanto em substituição de discos 
rígidos magnéticos.
RAM
Os circuitos microprocessados necessitam, para processar dados e instruções, 
de memórias rápidas com a capacidade de escrita e leitura. Esses dispositi-
vos voláteis são denominados memórias de acesso aleatório (RAM), pois,além de terem um tempo de acesso pequeno (da ordem de nanossegundos), 
também permitem que qualquer endereço seja lido sem a necessidade de 
leitura das posições de memória antecessoras. As memórias RAM possuem 
Dispositivos de memórias18
duas arquiteturas básicas de célula (SRAM e DRAM). cujas características 
serão apresentadas a seguir.
SRAM
Conforme você pode observar no diagrama simplifi cado da Figura 13, a me-
mória RAM estática (SRAM — Static Random Access Memory) armazena 
um bit de dado em um fl ip-fl op formado por dois inversores realimentados, e 
as suas entradas de dados são controladas por chaves que permitem a inserção 
de um dado externo na célula. Esse tipo de dispositivo de memória volátil tem 
a capacidade de manter os dados retidos enquanto houver níveis de tensão de 
alimentação presentes, sem a necessidade de nenhum processo de atualização 
dos dados retidos. 
Uma das grandes vantagens do emprego desse tipo de memória em siste-
mas de pequeno porte — cujos requisitos de capacidade de memória não são 
muito elevados — está na simplicidade dos acessos de escrita e leitura, uma 
vez que não é necessário nenhum tipo de sinal de sincronismo para refresh 
dos dados retidos.
Figura 13. Célula de uma memória SRAM.
Fonte: Horowitz (2017, p. 1016).
DRAM
Ao contrário das memórias SRAM, as memórias RAM dinâmicas (DRAM 
— Dinamic Random Access Memory) exigem que os dados gravados na sua 
19Dispositivos de memórias
célula sejam constantemente reescritos, o que demanda um projeto com um 
controlador de memória mais robusto e complexo.
Essa necessidade de atualizações constantes dos dados retidos, também 
conhecido como refresh, é proveniente da arquitetura interna da célula da 
DRAM. Basicamente, a memória é composta por um único transistor e um 
capacitor, conforme pode ser observado na Figura 14. Tendo em vista que o dado 
nessa célula é retido por meio da carga do capacitor (que tende a descarregar 
em uma fração de segundos muito pequena), o dado precisa ser constantemente 
reescrito para que a informação não se perca (HOROWITZ, 2017).
Você deve estar se perguntado qual é o sentido de utilizarmos uma es-
trutura de memória que exige um controlador mais complexo, não é? A 
justificativa para essa tecnologia de memória ser muito popular em circuitos 
microprocessados atualmente, como a memória principal dos microcompu-
tadores pessoais, deve-se ao fato de o tamanho físico da célula da DRAM 
utilizar apenas um transistor para a sua implementação física — ao contrário 
da SRAM, que utiliza seis transistores para a sua implementação (HORO-
WITZ, 2017). 
Nesse contexto, utilizando memórias com tecnologia dinâmica (DRAM), 
em detrimento das memórias estáticas (SRAM), tem-se nos projetos de 
circuitos digitais uma capacidade de memória muito maior na mesma área 
em silício.
Figura 14. Célula de uma memória DRAM.
Fonte: Horowitz (2017, p. 1019).
Dispositivos de memórias20
HOROWITZ, P. A arte da eletrônica: circuitos e eletrônicos e microeletrônica. 3. ed. Porto 
Alegre: Bookman, 2017.
TOCCI, R. J. Sistemas digitais: princípios e aplicações. 11. ed. São Paulo: Pearson Prentice 
Hall, 2011.
TOKHEIM, R. Fundamentos de eletrônica digital: sistemas sequenciais. 7. ed. Porto Alegre: 
AMGH, 2013. v. 2.
Leituras recomendadas
BIGNELL, J. W.; DONOVAN, R. Eletrônica digital. São Paulo: Cengage Learning, 2009.
SEDRA, A. S.; SMITH, K. C. Microeletrônica. 5. ed. São Paulo: Pearson Prentice Hall, 2007.
VAHID, F. Sistemas digitais: projeto, otimização e HDLs. Porto Alegre: Bookman, 2008.
21Dispositivos de memórias

Continue navegando