Buscar

APOL 2 - 80 - Logica Programavel

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes
Você viu 3, do total de 5 páginas

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Prévia do material em texto

Nota: 80 
Disciplina(s): Lógica Programável 
Questão 1/10 - Lógica Programável 
É possível implementar em VHDL a geração de estímulos para a realização de teste independente da 
ferramenta de simulação. 
Para gerar sinais aleatórios como estímulos em região de código sequencial utiliza-se o comando: 
Nota: 0.0 
 
A UNTIL 
 
B WAIT 
Rota5 – Tema4 
 
C AFTER 
 
D FOR 
 
E WHEN 
 
Questão 2/10 - Lógica Programável 
Contadores em VHDL podem ser implementados utilizando máquina de estados ou utilizando estrutura IF / 
ELSE sem máquina de estados, sempre utilizando uma entrada de clock ativo por borda de subida ou 
descida. 
No caso do contador utilizando estrutura IF / ELSE sem máquina de estados e que permite carregar 
um valor inicial de contagem, no que diz respeito ao sincronismo com o sinal de clock, o reset é 
__________ e a carga de dados é ____________. 
A opção abaixo que completa corretamente os espaços na ordem em que se apresentam é: 
Nota: 10.0 
 
A síncrono ; paralela síncrona 
 
B assíncrono ; paralela assíncrona 
 
C assíncrono ; paralela síncrona 
Você acertou! 
Rota4 – Tema3 
 
D síncrono ; serial síncrona 
 
E assíncrono ; serial síncrona 
 
Questão 3/10 - Lógica Programável 
Sobre o conjunto de instruções do microprocessador VHDL apresentado na Aula Teórica 6. 
A instrução de carga direta do Acumulador (LDdA,n) é executada por meio da leitura do dado em 
hexadecimal 10 apontado por Pc, fazendo o armazenamento no registrador Acc do valor que está no 
endereço de memória apontado por: 
Nota: 0.0 
 
A [Pc-1] 
 
B [Pc+1] 
 
C [[Pc+1]] 
 
D [Pg&0] 
Rota6 – Tema3 
 
E [[Pg&0]] 
 
Questão 4/10 - Lógica Programável 
Para a realização de testes em VHDL é possível gerar formas de onda para estimular uma entidade. 
Os estímulos de teste são armazenados em um vetor declarado como constante cujos elementos são do 
tipo: 
Nota: 10.0 
 
A ARRAY 
 
B VECTOR 
 
C COMPOSITE 
 
D RECORD 
Você acertou! 
Rota5 – Tema5 
 
E SCALAR 
 
Questão 5/10 - Lógica Programável 
Os dados armazenados na memória ROM em VHDL são definidos na arquitetura da entidade na região de 
declarações, antes da palavra reservada BEGIN. 
A classe de objetos utilizada para definir o número de endereços e armazenar os dados na memória ROM 
é: 
Nota: 10.0 
 
A VARIABLE 
 
B CONSTANT 
Você acertou! 
Rota5 – Tema1 
 
C GENERIC 
 
D SIGNAL 
 
E FILE 
 
Questão 6/10 - Lógica Programável 
Sobre a operação do microprocessador VHDL apresentado na Aula Teórica 6. 
Dadas as seguintes sentenças: 
I – Algumas instruções são divididas em dois passos, como a instrução LDiA,n. 
II – O registrador Ir armazena a instrução que foi obtida no ciclo de escrita. 
III – Na instrução LDiA,n o primeiro passo é ler o dado do endereço formado por Pg&Ir[3..0] e armazenar 
no registrador Aux. 
IV – O registrador St é semelhante ao Pc, mas usado para sub-rotinas. 
Quanto à veracidade dessas sentenças, respectivamente, marque a alternativa correta: 
Nota: 10.0 
 
A V, F, V, V 
Você acertou! 
Rota6 – Tema4 
 
B F, V, V, V 
 
C F, F, V, V 
 
D V, V, F, F 
 
E V, F, F, V 
 
Questão 7/10 - Lógica Programável 
O NIOS II é o processador software core da Altera. 
O nome do seu barramento interno que interliga todos os seus componentes é: 
Nota: 10.0 
 
A Altera Switch Fabric 
 
B Quartus Switch Fabric 
 
C Avalon Switch Fabric 
Você acertou! 
Rota6 – Tema2 
 
D Avalon Switch Industry 
 
E Altera Switch Industry 
 
Questão 8/10 - Lógica Programável 
Os IP cores são blocos de hardware que executam tarefas específicas. 
A tecnologia que possibilita projetar dispositivos FPGA com memória, elementos lógicos e um processador 
IP core em sua arquitetura interna é chamada de: 
Nota: 10.0 
 
A SOC 
 
B SOPC 
Você acertou! 
Rota6 – Tema1 
 
C SO 
 
D ARM 
 
E RISC 
 
Questão 9/10 - Lógica Programável 
Dado uma unidade lógica e aritmética (ULA) de 3 bits de controle implementada em VHDL, em que as 
4 primeiras operações são lógicas e as últimas 4 operações são aritméticas, considerando uma 
contagem de 000 a 111 na sua tabela verdade. 
O bit de entrada de controle que define se a operação é lógica ou aritmética é o: 
Nota: 10.0 
 
A LSB 
 
B MSB 
Você acertou! 
Rota4 – Tema1 
 
C USB 
 
D OSB 
 
E ASB 
 
Questão 10/10 - Lógica Programável 
Sobre circuitos codificadores/decodificadores e multiplexadores em VHDL. 
 
Dadas as seguintes sentenças: 
I – O codificador de prioridade pode ser implementado com construção WHEN ELSE, utilizando código 
concorrente. 
II – O codificador de prioridade pode ser implementado com construção WITH SELECT, utilizando código 
sequencial. 
III – Um multiplexador de 4 entradas com 4 bits cada, considerando o uso do tipo BIT_VECTOR, declara 
cada uma das suas entradas de dados da seguinte forma: IN BIT_VECTOR (4 DOWNTO 0). 
IV – Considerando um decodificador BCD para 7 segmentos para um display anodo comum, cuja 
saída é dada na seguinte ordem: hgfedcba. Para mostrar o dígito decimal 4 com o ponto decimal, o 
código binário na saída do decodificador é 00011001. 
Quanto à veracidade dessas sentenças, marque a alternativa correta: 
Nota: 10.0 
 
A V, F, V, V 
 
B V, V, F, F 
 
C F, V, V, V 
 
D F, F, V, V 
 
E V, F, F, V 
Você acertou! 
Rota4 – Tema2

Continue navegando