Buscar

Tutorial_QuartusII_ModelSim

Prévia do material em texto

Tutorial Quartus II + 
ModelSim
MATA38 – Projeto de Circuitos Lógicos
Prof. Marcos E. Barreto
DCC / UFBA
2012/11
Roteiro
• Obtenção e instalação do software
• Definição do problema
• Especificação do circuito 
=> Quartus II
• Simulação do circuito 
=> ModelSim
• Exercícios
2012/12
Obtenção e instalação do software
• Obtenção do Quartus II Web Edition
– Acessar o endereço 
https://www.altera.com/download/software/quartus-ii-we
– Versões disponíveis para Windows e Linux.
– Versão atual: Quartus II Web Edition v12.1 (Janeiro de 2013)
– Será necessário realizar o cadastro antes de fazer o download.
– Ver documentação (incluindo Installation Guide) no site.
• Obtenção do ModelSim Altera Starter
– Acessar o endereço https://www.altera.com/download/software/modelsim
– Versões disponíveis para Windows e Linux
– Versão atual: ModelSim-Altera Starter Edition v12.1 for Quartus II v12.1 
(Janeiro de 2013)
2012/13
2012/14
Obtenção e instalação do software
• Instalação do software
– Sigar o roteiro do Installation Guide para o sistema 
operacional escolhido.
– No Windows, tanto o Quartus II quanto o ModelSim serão 
instalados sob o menu Altera
2012/1 4
2012/15
2012/1 5
Definição do problema
• Estudo de caso: somador completo
2012/1
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY somador1bit IS
 PORT(cin,a,b : IN STD_LOGIC;
 s, cout : OUT STD_LOGIC);
END somador1bit;
ARCHITECTURE comportamento OF somador1bit IS
BEGIN
 s <= a XOR b XOR cin;
 cout <= (a AND b) OR (a AND cin) OR (b AND cin);
END comportamento;
2012/16
Especificação do circuito
1. Crie uma pasta para o projeto (ex. somador1bit)
– Não use a mesma pasta do Quartus II e do ModelSim
2. Invoque o Quartus II através de Iniciar -> Programas -> 
Altera -> Quartus II 12.1 Web Edition
2012/17
Especificação do circuito
1. Na janela “Get started with Quartus II Software”, escolher 
“Create a new project”.
2. Clicar em Next.
3. Em “What is the working directory for this project?”, 
escolher a pasta criada na etapa anterior.
4. Em “What is the name of this project?”, inserir 
“somador1bit”.
5. Clicar em Next. Clicar em Next novamente.
6. Na caixa de diálogo “Device family”, escolher “Cyclone 
II”. Na tabela “Available devices”, escolher 
“EP2C35F672C6”. Clicar em Next. (ver slide 8)
7. Na caixa de diálogo “Simulation”, escolher “ModelSim-
Altera”. Clicar em Next. (ver slide 9)
8. Clicar em Finish. (ver slide 10)
2012/18
2012/19
2012/110
2012/111
Especificação do circuito
Criando um arquivo VHDL
1. Menu File -> New -> VHDL File => Ok
2. Inserir o código VHDL correspondente ao circuito
 Ex: somador completo de 1 bit 
3. Menu File -> Save As => usar o mesmo nome da 
entidade para o nome do arquivo (Ex. somador1bit.vhdl)
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY somador1bit IS
 PORT (cin, a, b : IN STD_LOGIC; 
 s, cout : OUT STD_LOGIC);
END somador1bit;
ARCHITECTURE comportamento OF somador1bit IS
BEGIN
 s <= a XOR b XOR cin;
 cout <= (a AND b) OR (a AND cin) OR (b AND cin);
END comportamento;
s
12
12
Especificação do circuito
Compilando um projeto
1. Menu Processing -> Start Compilation (ou clicar no 
triângulo roxo).
2. Aguardar a mensagem “Full compilation was 
succesfull” (warnings são normais) ou alguma 
mensagem de erro no VHDL.
3. Observar os seguintes dados mostrados na janela 
“Compilation report – flow summary”:
1. Total combination functions
2. Dedicated logic elements
4. Observar os seguintes dados na janela “Message” 
(linha que começa com “Longest tpd from...”)
1. Tpd
2. Source pin
3. Destination pin
2012/113
1313
Simulação do circuito
Ferramenta ModelSim, da Mentor Graphics, versão Altera
1. Simulação em nível lógico com atrasos (gate level simulation)
Definir o caminho do ModelSim-Altera
Menu Tools -> 
Options
Em Category -> 
EDA Tools 
Options, 
verificar o 
caminho 
para o 
ModelSim
2012/114
Simulação do circuito
Abrir a ferramenta ModelSim-Altera
1. Menu Tools – Run EDA Simulation Tool -> EDA Gate 
Level Simulation
2012/115
2012/1 15
Simulação do circuito
Abrir a ferramenta ModelSim-Altera
2012/116
2012/1 162012/1 16
Simulação do circuito
Iniciar simulação “gate level”
1. Menu Simulate -> Start Simulation
2. Aba Design -> Work e escolher “somador1bit”
3. Aba SDF -> Add -> em SDF File escolher Browse -> 
selecionar o arquivo “somador1bit_vhd.sdo” => Clicar 
em Ok
2012/117
Simulação do circuito
Alterar opções da simulação
1. Menu Simulate -> Runtime Options
2. Em “Default Radix” escolher Binary
3. Em “Default Run” inserir 150 ns
4. Clicar em Ok
2012/118
2012/1 18
Simulação do circuito
Inserir os sinais nas formas de onda (waveforms)
1. Na janela “Objects”, escolher todos os sinais (portas) 
definidas no circuito => a, b, cin, s, cout
2. Botão direito -> escolher Add -> To Wave -> Select 
Signals
2012/119
2012/1 192012/1 19
Simulação do circuito
Alterar a unidade de tempo nas formas de onda 
(waveforms)
2012/120
2012/1 202012/1 202012/1 20
Simulação do circuito
Alterar o “zoom range” das formas de onda 
(waveforms)
1. Menu Wave -> Zoom -> Zoom Range
2. Inserir Start => 0 ns e End => 150 ns
2012/121
2012/1 212012/1 212012/1 212012/1 21
Simulação do circuito
Criar um arquivo de estímulos de entrada
1. Menu File -> New -> Source -> Do
2. Inserir o código abaixo e salvar com o nome 
“estimulo.do”
#força a entrada cin para 0 no tempo 0 ns
#força cin para 1 no tempo 80 ns, repete a cada 160 ns
force /cin 0 0 ns, 1 80 ns -r 160 ns
force /a 0 0 ns, 1 40 ns -r 80 ns
force /b 0 0 ns, 1 20 ns -r 40 ns
2012/122
2012/1 222012/1 222012/1 222012/1 222012/1 22
Simulação do circuito
Executar o arquivo de estímulos de entrada
1. Menu Tools -> Tcl -> Execute Macro.
2. Escolher o arquivo “estimulo.do”
3. Executar 100ns de simulação
 Menu Simulate -> Run -> Run 100
5. Analisar os resultados da simulação
Podemos repetir as 
simulações
em intervalos de 
100 ns
2012/123
2012/1 232012/1 232012/1 232012/1 232012/1 232012/1 23
Simulação do circuito
Para reiniciar a simulação Restart
	Slide 1
	Roteiro
	Obtenção e instalação do software
	Obtenção e instalação do software
	Definição do problema
	Especificação do circuito
	Slide 7
	Slide 8
	Slide 9
	Slide 10
	Slide 11
	Slide 12
	Slide 13
	Slide 14
	Slide 15
	Slide 16
	Slide 17
	Slide 18
	Slide 19
	Slide 20
	Slide 21
	Slide 22
	Slide 23

Continue navegando

Outros materiais