Buscar

Flip-flops,+registradores+e+contadores

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes
Você viu 3, do total de 32 páginas

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes
Você viu 6, do total de 32 páginas

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes
Você viu 9, do total de 32 páginas

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Prévia do material em texto

*
Flip-Flops, Registradores e Contadores
O campo da Eletrônica Digital é basicamente dividido em:
Lógica Combinacional
Saídas dependentes única e exclusivamente das variáveis de entrada
Lógica Seqüencial
Saídas dependentes das variáveis de entrada e e/ou de seus estados anteriores que permanecem armazenados, sendo, geralmente sistemas pulsados, ou seja, dependem de um sinal de clock
*
Flip-Flops
O flip-flop é um dispositivo que possui dois estados estáveis. Para o flip-flop assumir um destes estados, é necessário que haja uma combinação das variáveis de entrada e de um pulso de clock. Após este pulso, o flip-flop permanecerá nesse estado até a chegada de um novo pulso de clock, aí então, de acordo com as variáveis de entrada, permanecerá ou mudará de estado.
*
Flip-Flops
Basicamente, podemos representar o flip-flop como um bloco onde temos duas saídas: Q e Q’, entradas para as variáveis e uma entrada de clock. A saída Q será a principal do bloco.
Os dois estados possíveis mencionados são: 
Q = 0 e Q’ = 1
Q = 1 e Q’ = 0
*
Tipos de Flip-Flop
Flip-Flop SR
Flip-Flop SR comandado por pulso de clock
Flip-Flop tipo D
Flip-Flop tipo T
Flip-Flop JK
Flip-Flop JK com entradas de PRESET e CLEAR
Flip-Flop JK Mestre-Escravo
*
Flip-Flop SR (Set e Reset)
S
R
Qn
Qn+1
0
0
0
0
0
0
1
1
0
1
0
0
0
1
1
0
1
0
0
1
1
0
1
1
1
1
0
1
1
1
1
1
S
R
Qn+1
0
0
Qn
0
1
0
1
0
1
1
1
Não permitido
*
Flip-Flop SR comandado por pulso de Clock
Nesse circuito quando a entrada do clock for igual a 0, o flip-flop irá permanecer no seu estrado. 
*
Flip-Flop SR comandado por pulso de Clock
*
Flip-Flop tipo D
Um flip-flop tipo D não passa de um flip-flop SR com as entradas S e R sendo ligadas através de um inversor, de acordo com a figura abaixo.
		D
		Qn+1
		0
		0
		1
		1
*
Flip-Flop tipo T
Um flip-flop tipo T não passa de um flip-flop JK com as entradas J e K curto-circuitadas, de acordo com a figura abaixo.
*
Flip-Flop JK
J
K
Qn+1
0
0
Qn
0
1
0
1
0
1
1
1
Qn'
*
Flip-Flop JK com Entradas PRESET e CLEAR
CLEAR
PRESET
Qn+1
0
0
Não permitido
0
1
0
1
0
1
1
1
Funcionamento normal
*
Flip-Flop JK Mestre Escravo
O flip-flop JK apresenta uma característica indesejável. Quando o clock for igual a 1, teremos o circuito funcionando como sendo um circuito combinacional, pois haverá a passagem das entradas J, K e também da realimentação. Nessa situação, se houver uma mudança nas entradas J e K, o circuito apresentará uma nova saída, podendo alterar seu estado tantas vezes quanto forem alterados os estrados das entradas J e K
Esse problema é resolvido com o uso do flip-flop JK Mestre Escravo ou JK Master Slave.
*
Flip-Flop JK Mestre Escravo
*
Flip-Flop JK Mestre Escravo
*
Flip-Flop JK Mestre Escravo
Primeiramente devemos notar que quando o clock for igual a 1, haverá a passagem das entradas J e K (circuito mestre), porém não haverá passagem das saídas Q1 e Q1’ (entradas S e R do circuito escravo. 
*
Exercício
Construa a tabela verdade do seguinte flip-flop
*
Registradores de Deslocamento (Shift Registers)
Registradores de deslocamento possuem entrada serial e saída paralela ou serial. São construídos com certo número de flip-flops tipo D, SR ou JK mestre-escravo, ligados de modo que as saídas de cada bloco alimentem as entradas S e R do flip-flop seguinte, sendo que o primeiro deles terá as suas entradas S e R ligadas da mesma maneira que em um flip-flop tipo D.
*
*
Conversor Série-Paralelo
Chamamos de informação em paralelo a uma informação na qual todos os bits se apresentam simultaneamente. Uma informação paralela necessita de tantos fios quantos forem os bits contidos nela.
Por outro lado, informação em série é aquela que utiliza apenas um fio, sendo que os bits de informação vêem seqüencialmente, um após o outro.
*
Conversor Série-Paralelo
	Um registrador de deslocamento pode ser utilizado para converter uma informação em série para uma informação em paralelo, ou seja, funcionar como um conversor série-paralelo. O circuito do slide 26 consiste na configuração básica de um conversor série-paralelo de 4 bits. Para o funcionamento adequado do registrador de deslocamento como conversor série-paralelo, basta colocar a informação serial na entrada e após alguns pulsos de clock (tantos quantos forem o número de bits do conversor – no caso do circuito do slide 26, 3 pulsos de clock), ler a informação na forma paralela nas saídas Q3 a Q0.
*
Conversor Paralelo-Série
Para entrarmos com uma informação em paralelo, necessitamos de um registrador que utilize flip-flops com entradas Preset e Clear, pois é através destas que fazemos com que o registrador armazene a informação em paralelo.
*
Registrador de Entrada e Saída Série
Podemos utilizar um registrador de deslocamento da figura abaixo, que apresenta entrada da informação em série e simplesmente realizarmos a leitura da informação, também na forma serial, na saída Q0. Notamos que nessa aplicação, após a entrada da informação, se inibirmos a entrada de clock, esta informação permanecerá armazenada no registrador até que haja uma nova entrada. Assim sendo, é fácil perceber que o registrador funcionou como uma memória.
*
Registrador de Entrada e Saída Paralelo
*
Registrador de Deslocamento Utilizado como Multiplicador ou Divisor por 2
Se analisarmos um número binário qualquer, por exemplo: A = 10102 = 1010
Se o armazenarmos este número em um registrador de deslocamento e ele for deslocada uma casa para a direita, colocando 0 na entrada, teremos a seguinte situação: B=01012 = 510
Ou seja, como podemos verificar, o número A foi dividido por 2. Em outras palavras, em números binários, um deslocamento para a direita significa uma divisão por 2. Esta operação também é conhecida como Shift Right.
Também existem registradores que podem executar deslocamentos para a esquerda. De modo análogo, se considerarmos um número C = 00012 = 110, veremos que ao deslocarmos ele de uma casa para a esquerda colocando 0 na entrada, teremos o seguinte: D = 00102 = 210
Ou seja, ao deslocarmos um número binário para a esquerda ele é multiplicado por 2. Esta operação também é conhecida como Shift Left.
*
Contadores
Contadores são circuitos digitais que variam os seus estados, sob o comando de um clock, de acordo com um seqüência pré-determinada.
São utilizados principalmente para contagens, geradores de palavras, divisores de freqüência e tempo, geradores de formas de onda, conversão de analógico para digital, seqüenciamento de operações de máquinas, etc.
*
Contadores Assíncronos e Contadores Síncronos
Os contadores assíncronos são caracterizados por não terem entradas de clock comuns. Essa se faz apenas no primeiro flip-flop e as outras entradas de clock dos demais flip-flops serão funções das saídas dos flip-flops anteriores.
Os contadores síncronos são contadores cujos flip-flops que o compõe compartilham o mesmo sinal de clock, ou seja todos os flip-flops recebem o mesmo pulso de clock, ao mesmo tempo.
Para que ocorram mudanças de estado, devemos então estudar o comportamento das entradas J e K dos vários flip-flops para que se tenha nas saídas a seqüência desejada.
Para estudar contadores síncronos, deve-se sempre escrever a tabela verdade e analisar quais devem ser os valores das entradas J e K dos vários flip-flops para que suas saídas assumam o estado seguinte dentro da seqüência.
*
Contador BCD 8421
Um contador gerador da seqüência docódigo BCD 8421 pode ser síncrono ou assíncrono. A figura 4.1 mostra um contador BCD 8421 assíncrono, cujo projeto é bem mais simples do que no caso do contador síncrono.
*
Contador de Década
Um contador de década é um circuito que efetua a contagem, em números binários, de zero a nove (10 algarismos), o que é o mesmo que seguir a seqüência do código BCD 8421 de 0000 até 1001.
Os contadores de década podem ser síncronos ou assíncronos. Para se construir um contador de década síncrono, utiliza-se um contador de pulsos, somente com a diferença que neste caso é necessário o uso de flip-flops com entradas "Clear".
Para que o contador execute a contagem somente de 0 a 9 (0000 a 1001), quando ocorrer nos terminais de saída o estado 10102 = 1010 deve-se colocar um pulso zero na entrada Clear e assim o contador reiniciará a contagem logo após o décimo pulso.
Após o décimo pulso de clock o contador "tende" a assumir o estado de saída 1010, porém neste instante a entrada "Clear" vai para 0, zerando o contador, que reiniciará a contagem.
*
Contador de Década
		Pulsos de entrada
		Q3
		Q2
		Q1
		Q0
		Clear
		1
		0
		0
		0
		0
		1
		2
		0
		0
		0
		1
		1
		3
		0
		0
		1
		0
		1
		4
		0
		0
		1
		1
		1
		5
		0
		1
		0
		0
		1
		6
		0
		1
		0
		1
		1
		7
		0
		1
		1
		0
		1
		8
		1
		1
		1
		1
		1
		9
		1
		0
		0
		0
		1
		10
		1
		0
		0
		1
		1
		11
		1
		0
		1
		0
		0
		12
		0
		0
		0
		0
		1
		:
		:
		:
		:
		:
		:
		:
		:
		:
		:
		:
		:
*
Contador em Anel
Contadores em anel são contadores cíclicos que funcionam da seguinte forma: inicializa-se o contador introduzindo nele um bit igual a "1" e os demais iguais a "0". A medida que os pulsos de clock vão chegando ao contador, o "1" vai sendo ciclicamente deslocado.
O projeto de um contador em anel pode ser feito usando-se um registrador de deslocamento e um circuito de inicialização. Esse circuito deve, a princípio zerar o registrador de deslocamento e em seguida introduzir o bit igual a "1". A partir daí, a cada pulso de clock recebido o "1" deve ser deslocado ciclicamente ao longo de registrador.
*
Contadores Crescentes e Decrescentes
Os contadores podem ser classificados pelo tipo de contagem que executam, ou seja, se executam contagem crescente ou decrescente.
Os contadores da figura 4.1 e 4.2 são contadores crescentes. As figuras 4.3 e 4.4 ilustram duas maneiras de se projetar contadores decrescentes.
É importante salientar que os contadores síncronos também podem ser crescentes ou decrescentes, e podem ser projetados usando a mesma metodologia, diferindo apenas na tabela verdade.
*
Contadores Crescentes e Decrescentes
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*

Continue navegando