Buscar

sistemas digitais

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes
Você viu 3, do total de 7 páginas

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes
Você viu 6, do total de 7 páginas

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Prévia do material em texto

ERGUNTA 1
1. Circuitos contadores binários assíncronos podem ser utilizados para gerar formas de onda. Para tanto, conecta-se os seus terminais de saída a um componente denominado “conversor digital-analógico” (DAC - Digital-analogic Converter). Um DAC é capaz de receber, em suas entradas, uma palavra digital e convertê-la para um sinal analógico. Assim, sua saída terá uma variação de voltagem correspondente ao valor binário digital inserido em sua entrada. 
Na figura a seguir temos, em (a) uma onda “dente de serra” obtida a partir de um contador assíncrono crescente. Em (b), a onda “dente de serra” é gerada utilizando-se um contador assíncrono decrescente. Em (c), temos a figura de uma onda “triangular”.
 
Fonte: elaborada pelo autor, 2019.
 
Analise as afirmativas a seguir e assinale com “V” as verdadeiras e com “F” a(s) falsa(s).
( ) Um gerador de onda triangular pode ser obtido com um contador do tipo crescente/decrescente, cuja funcionalidade varia automaticamente.
( ) Não é possível implementar um gerador de onda triangular utilizando-se contadores binários assíncronos. Devem ser usados apenas contadores binários síncronos.
( ) A funcionalidade crescente/decrescente pode ser trocada automaticamente por meio da utilização de um flip-flop do tipo “JK”, com os seus terminais “J” e “K” conectados aos nível lógico “1”. O clock do flip-flop “JK” é obtido quando a contagem chegar ao seu valor limite (por exemplo, em um contador de 3 bits, valor 7 na contagem crescente e valor 0 na decrescente).
( ) A funcionalidade crescente/decrescente pode ser trocada automaticamente por meio da utilização de um flip-flop do tipo “JK”, com os seus terminais “J” e “K” conectados aos nível lógico “1”. O clock do flip-flop “JK” é obtido utilizando-se um outro contador que gera um pulso toda vez que o seu bit mais significativo realizar a transição de “1” para “0”, ou seja, quando a contagem for reiniciada.
Assinale a alternativa que traz a sequência correta.
	
	
	
	
	
	
	
	
	
	
	
	
	
	
	V; F; V; V.
1 pontos   
PERGUNTA 2
1. Em diversas situações, surge a necessidade de utilizarmos circuitos contadores. Por exemplo, nas análises clínicas, temos os contadores, para que sejam levantadas quantidades de microrganismos; no controle de acesso a um show, temos que verificar quantas pessoas estão presentes; e no computador, quantos ticks de clock foram efetuados. Para tanto, existem os circuitos contadores binários assíncronos e os circuitos contadores síncronos.
Analise as afirmativas a seguir e assinale com “V” as verdadeiras e com “F” a(s) falsa(s).
( ) Contadores síncronos são implementados somente com flip-flops tipo “T”.
( ) Contadores síncronos permitem uma contagem não linear. Porém, para se conseguir tal característica, deve-se criar lógicas combinacionais para cada entrada “J” e “K” dos flip-flops envolvidos.
( ) Dá-se o nome de contadores síncronos, pois todos os flip-flops recebem o mesmo sinal de clock, simultaneamente.
( ) Com os contadores síncronos, evita-se o problema de ruídos que poderiam ocorrer nos contadores assíncronos.
Agora, assinale a alternativa com a sequência correta.
	
	
	F; V; V; V.
	
	
	
	
	
	
	
	
	
	
	
	
PERGUNTA 3
1. Na matemática, podemos realizar multiplicações utilizando somas sucessivas. Por exemplo 4*3 = 4 + 4 + 4 = 12. Para essa questão, imagine que você tenha a necessidade de implementar um circuito capaz de realizar multiplicação de dois números (“A” e “B”) de 3 bits cada – em que “A” denota o multiplicando e “B” o multiplicador. Porém, infelizmente, você não tem, em sua bancada, circuitos ou componentes que fazem, especificamente, a operação de multiplicação.
Para essa questão, analise as afirmativas a seguir.
I. Para realizar uma operação de multiplicação com somas sucessivas, temos que ter um circuito somador (“S”), um registrador (“R”), um circuito contador (“C”) e uma porta “AND”. As entradas de “S” são o multiplicando e a saída de “R”. O registrador “R” recebe a saída de “S” e, como clock, a saída da porta “AND”. A porta “AND” recebe como entradas o sinal de clock e a indicação se o contador “C” realizou a contagem até atingir o valor do multiplicador, tendo iniciado com o valor “0”.
II. Para saber se o contador de passos realizou o número de iterações equivalente ao multiplicador, basta associar, por meio de uma porta “XNOR”, cada bit do contador com cada bit do multiplicador. Todas as saídas das portas “XNOR” serão conectadas às entradas de uma porta “OR”.
III. Quando o contador de passos finalizar a sua contagem, deve-se bloquear
2. o clock do registrador e o seu próprio clock por meio, por exemplo, de portas “AND”. Esse mesmo sinal servirá para indicar que a operação de multiplicação por meio das somas sucessivas foi finalizada.
IV. Para realizar uma operação de multiplicação com somas sucessivas, temos que ter um circuito somador (“S”), um registrador de deslocamento (“R”), um circuito contador (“C”) e uma porta “AND”. As entradas de “S” são o multiplicando e a saída de “R”. O registrador “R” recebe a saída de “S” e, como clock, a saída da porta “AND”. A porta “AND” recebe como entradas o sinal de clock e a indicação se o contador “C” realizou a contagem até atingir o valor do multiplicador, tendo iniciado com o valor “0”. A cada pulso de clock, o registrador também fará um deslocamento para a esquerda.
Agora, assinale a alternativa que traz apenas a(s) afirmativa(s) correta(s).
	
	
	I, II e III.
	
	
	
	
	
	
	
	
	
	
	
	
1 pontos   
PERGUNTA 4
1. Na eletrônica digital, os componentes básicos dos sistemas lógicos sequenciais são chamados de “ latches” e “ flip-flops”. Tais componentes são capazes de armazenar um bit de informação e podem integrar os circuitos registradores e contadores binários. Sabe-se que existem vários modelos de latches e flip-flops, dentre os quais podemos destacar “RS”, “D”, “JK” e “T”.
Para essa questão, vamos nos concentrar no tipo “JK” e analisar as seguintes combinações para os valores de “J” e de “K”:
(I) J = 0 ; K = 0.
(II) J = 1 ; K = 0.
(III) J = 1 ; K = 1.
(IV) J = 0 ; K = 1.
 
Qual é a sequência correta dos valores a serem obtidos na saída Q do flip-flop “JK”?
	
	
	
	
	
	Qt; 1; ~Qt; 0.
	
	
	
	
	
	
	
	
	
1 pontos   
PERGUNTA 5
1. Um valor numérico binário pode ser expresso por diversas formas. Dentre as formas mais conhecidas, podemos citar: codificação “Gray”; codificação “Johnson”; e codificação “Excesso 3”. Em relação à codificação Johnson, podemos realizar sua contagem por intermédio de um registrador de deslocamento em anel torcido. Porém, essa não é a única maneira, ou seja, podemos realizar a contagem Johnson também por meio de um contador binário síncrono. Para essa questão, imagine uma contagem Johnson de apenas 3 bits (“A”, “B” e “C” – em que o bit “C” é o menos significativo). Nesse caso, teremos a seguinte contagem: 000 → 001 → 011 → 111 → 110 → 100 → volta ao estado inicial “000”.
Selecione a alternativa que contém as expressões corretas dos circuitos combinacionais relativos aos terminais “J” e “K” do flip-flop correspondente ao bit “C” (menos significativo).
	
	
	
	
	
	
	
	
	
	
	
	JC = ~A+~B; KC = A+B.
	
	
	
1 pontos   
PERGUNTA 6
1. Em diversas situações, surge a necessidade de se alternar entre as contagens crescentes e as decrescentes. Um exemplo é a implementação de um gerador de ondas do tipo triangular. Em outras situações, por questão de economia (de custos e de espaço utilizado), um único circuito integrado já integra as duas funcionalidades, mas, para tanto, deve existir um pino cuja função é permitir ao usuário/desenvolvedor optar pela contagem crescente ou pela decrescente. Assim, por exemplo, quando esse pino (UP/DOWN) estiver no nível lógico “0”, a contagem será crescente; caso contrário (valor lógico “1”), a contagem será realizada de forma decrescente.
Para essa questão, analise as afirmativas a seguir.
I. Para permitir a troca da funcionalidade do contador, pode ser inserido um MUX na entrada do clock dos flip-flops, selecionando a saída Q ou a saída ~Q do flip-flop anterior.O bit de seleção do MUX será o próprio pino “UP/DOWN”.
II. Para permitir uma contagem crescente ou decrescente, basta conectar as saídas Q e ~Q às entradas de uma porta “OR”. A saída da porta “OR” corresponderá a um bit da palavra de saída do contador.
III. Para permitir a troca da funcionalidade do contador, pode ser inserido um MUX para a obtenção da saída da contagem. Esse MUX receberá como entradas a saída Q ou a saída ~Q do flip-flop. O bit de seleção do MUX será o próprio pino “UP/DOWN”.
IV. Para permitir a troca da funcionalidade, basta conectar as saídas Q e ~Q às entradas de uma porta “AND”. A saída da porta “AND” corresponderá a um bit da palavra de saída do contador.
Agora, assinale a alternativa que traz apenas a(s) afirmativa(s) correta(s).
	
	
	I e III.
	
	
	
	
	
	
	
	
	
	
	
	
1 pontos   
PERGUNTA 7
1. Um relógio digital pode ser implementado utilizando-se um conjunto de contadores assíncronos. Cada contador fica incumbido por um dígito do relógio, por exemplo, unidade e dezena dos segundos, unidade e dezena dos minutos e unidade e dezena das horas. Imagine que você tem a necessidade de implementar um relógio digital cujas horas variam de 00 até 23.
Analise as proposições a seguir.
I. O clock para as unidades das horas é proveniente do sinal de reset do módulo responsável pela contagem da dezena dos minutos. E o clock para as dezenas nas horas é proveniente do sinal de reset do contador relacionado à unidade das horas.
II. O clock para as unidades das horas é proveniente do sinal de reset do módulo responsável pela contagem da dezena dos horas. E o clock para as dezenas nas horas é proveniente do sinal de reset do contador relacionado à dezena das horas.
III. Para o sinal de reset do contador relativo à unidade das horas, deverão ser testadas duas situações: caso a dezena das horas tiver os valores 0 ou 1, o reset da unidade deve ocorrer após o valor 9. Caso contrário, o reset da unidade das horas deverá ser acionado no momento 3.
IV. Para o sinal de reset do contador relativo à unidade das horas, deverão ser testadas duas situações: caso a dezena das horas tiver os valores 0 ou 1, o reset da unidade deve ocorrer após o valor 9. Caso contrário, o reset da unidade das horas deverá ser acionado no momento 4.
Agora, assinale a alternativa que traz apenas a(s) afirmativa(s) correta(s).
	
	
	
	
	
	
	
	
	
	
	
	I e IV.
	
	
	
	
	
	
1 pontos   
PERGUNTA 8
1. Dentro dos circuitos lógicos sequenciais, podemos encontrar os contadores assíncronos. Contadores assíncronos são circuitos capazes de realizar uma contagem crescente ou decrescente em que a variação dos valores de contagem é realizada mediante o pulso de um sinal de clock . Sua implementação poderá ser realizada pela utilização de flip-flops tipo “JK” ou flip-flops tipo “T”. Analise as afirmativas a seguir e assinale com “V” as verdadeiras e com “F” a(s) falsa(s).
( ) Contadores assíncronos permitem apenas contagens em que os elementos contados são subsequentes, ou seja, o novo valor representa o acréscimo ou decréscimo de uma unidade a cada pulso de clock .
( ) Contadores assíncronos podem apresentar valores errôneos transientes de contagem, que duram enquanto as transições dos sinais de clock estiverem sendo realizadas.
( ) Contadores assíncronos possuem a implementação mais complexa em relação aos contadores síncronos.
( ) Para realizar a contagem decrescente, basta usar a lógica positiva para o sinal do clock .
Agora, assinale a alternativa com a sequência correta.
	
	
	
	
	
	
	
	
	V; V; F; V.
	
	
	
	
	
	
1 pontos   
PERGUNTA 9
1. Suponha que você tem que implementar um circuito para controlar o acendimento dos LEDs de um giroflex de uma viatura oficial (como um carro de polícia, uma ambulância ou um veículo do corpo de bombeiros). Assim, projete um circuito para controlar o conjunto de LED S, de modo que se tenha a sequência de acendimentos a seguir:
  
Fonte: Elaborada pelo autor, 2019.
 
Na figura acima, temos, inicialmente, apenas os LEDs das extremidades acesos e os demais apagados. Sabendo-se que esse projeto pode ser desenvolvido com contadores síncronos, para essa questão, desenvolva as expressões relativas aos terminais “J” e “K” do primeiro LED (o LED mais à esquerda). Lembrando que os dois lados são simétricos, ou seja, temos dois conjuntos simétricos de quatro LEDs cada. Assim, o acendimento do último LED corresponderá ao acendimento do primeiro, o penúltimo corresponde ao segundo e assim por diante. Suponha que os LEDs sejam denominados “A”, “B”, “C” e “D” e associados à saída “Q” do flip-flop correspondente do contador síncrono. Imagine que o circuito já é iniciado no estado correspondente à primeira linha da figura.
Assinale a alternativa que contém as expressões de “J” e “K” do LED mais à esquerda do giroflex apresentado.
	
	
	
	
	
	
	
	
	
	
	
	
	
	
	J = ~A.~B.~C.D; K = A.~B.~C.~D.
1 pontos   
PERGUNTA 10
1. Um contador binário síncrono pode estar envolvido em várias aplicações, dentre as quais destacamos as máquinas de estado. Cada valor da contagem corresponde a um estado ativo, podendo, por exemplo, ser associado a algum maquinário ligado em uma área industrial. Porém, deve-se prestar atenção aos estados não mapeados na contagem. Alguma falha transiente provocada, por exemplo, por uma descarga elétrica de algum outro equipamento pode levar a contagem para um valor inválido sendo, então, necessária a correção de contagem.
Analise as afirmativas a seguir e assinale com “V” as verdadeiras e com “F” a(s) falsa(s).
( ) Assim que o contador assumir um valor inválido, pode-se ativar, prontamente, os pinos de “PRESET” e “CLEAR” dos flip-flops do contador para que o contador seja reiniciado com um valor de contagem válido. Nesse caso, estamos fazendo uma correção dita “assíncrona”.
( ) Assim que o contador assumir um valor inválido, podemos realizar uma transição para um valor válido da contagem. Dessa forma, a correção será realizada no próximo pulso de clock, caracterizando uma correção “síncrona”.
( ) Assim que o contador assumir um valor inválido, podemos deixar o contador seguir seu curso, pois a contagem convergirá para um valor válido. Esse tipo de correção é realizado de forma síncrona.
( ) Assim que o contador assumir um valor inválido, podemos realizar transições dentro de uma outra sequência composta pelos valores inválidos. A cada pulso de clock, o contador assume tais valores inválidos até chegar em um valor válido. A partir desse momento, a contagem volta a contemplar os valores válidos. Nesse caso, as transições são realizadas de forma síncrona.
Agora, assinale a alternativa que traz apenas a(s) afirmativa(s) correta(s).
	
	
	
	
	
	
	
	
	
	
	
	V; V; F; V.

Continue navegando