Buscar

Para implementar um codificador de prioridade em VHDL por meio de codificação concorrente podem ser utilizadas descrições com duas construções, uma...

Para implementar um codificador de prioridade em VHDL por meio de codificação concorrente podem ser utilizadas descrições com duas construções, uma que tem uma maior correspondência com a operação do circuito e outra em que código contém um detalhamento maior. Estas duas construções são, respectivamente:


A IF ELSE e WITH SELECT
B WITH SELECT e CASE WHEN
C WHEN ELSE e WITH SELECT
D WHEN ELSE e CASE WHEN
E FOR LOOP e WITH SELECT

Essa pergunta também está no material:

lógica programável
1 pág.

Lógica de Programação

💡 1 Resposta

User badge image

Ed Verified user icon

A construção que tem uma maior correspondência com a operação do circuito é a opção B) WITH SELECT e CASE WHEN.

0
Dislike1

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

✏️ Responder

SetasNegritoItálicoSublinhadoTachadoCitaçãoCódigoLista numeradaLista com marcadoresSubscritoSobrescritoDiminuir recuoAumentar recuoCor da fonteCor de fundoAlinhamentoLimparInserir linkImagemFórmula

Para escrever sua resposta aqui, entre ou crie uma conta

User badge image

Outros materiais