Buscar

Um componente em VHDL é uma entidade de projeto empregada na arquitetura de outra entidade. Primeiramente o componente deve ser declarado, podendo ...

Um componente em VHDL é uma entidade de projeto empregada na arquitetura de outra entidade. Primeiramente o componente deve ser declarado, podendo ser no corpo da arquitetura antes da palavra reservada BEGIN. Para chamar o componente na região operacional da arquitetura (após a palavra reservada BEGIN), o comando que estabelece a conexão entre as portas da entidade de projeto com as portas da entidade do componente é:


A COMPONENT
B PORT
C PORT MAP
D FUNCTION
E SIGNAL

Essa pergunta também está no material:

APOL 1 LOGICA PROGRAMAVEL (2)-convertido
6 pág.

Intalações S Centro Universitário UNINTERCentro Universitário UNINTER

💡 1 Resposta

User badge image

Ed Verified user icon

O comando que estabelece a conexão entre as portas da entidade de projeto com as portas da entidade do componente em VHDL é a alternativa C) PORT MAP.

0
Dislike0

✏️ Responder

SetasNegritoItálicoSublinhadoTachadoCitaçãoCódigoLista numeradaLista com marcadoresSubscritoSobrescritoDiminuir recuoAumentar recuoCor da fonteCor de fundoAlinhamentoLimparInserir linkImagemFórmula

Para escrever sua resposta aqui, entre ou crie uma conta

User badge image

Outros materiais