Buscar

Uma memória RAM implementada em VHDL deve ter o seu tamanho definido na declaração de um tipo com o número de bits de endereços e de dados fixos Da...

Uma memória RAM implementada em VHDL deve ter o seu tamanho definido na declaração de um tipo com o número de bits de endereços e de dados fixos

Dada a declaração a seguir

TYPE memoria_RAM IS ARRAY(0 TO 9) OF UNSIGNED(3 DOWNTO 0);


A organização desta memória RAM é:

A) 9x3

B) 8x4

C) 10 x 4

D) 3x9

E) 16 x8


Qual alternativa correta

💡 1 Resposta

User badge image

Ed Verified user icon

A organização desta memória RAM é de 10 x 4, conforme a alternativa C. Isso porque o tipo "memoria_RAM" é definido como um array de 10 posições (0 a 9) e cada posição é um vetor "UNSIGNED" de 4 bits (3 downto 0), totalizando 40 bits de armazenamento.

1
Dislike0

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

✏️ Responder

SetasNegritoItálicoSublinhadoTachadoCitaçãoCódigoLista numeradaLista com marcadoresSubscritoSobrescritoDiminuir recuoAumentar recuoCor da fonteCor de fundoAlinhamentoLimparInserir linkImagemFórmula

Para escrever sua resposta aqui, entre ou crie uma conta

User badge image

Outros materiais