Buscar

Atividade Prática - Logica programavel PD

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes
Você viu 3, do total de 7 páginas

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes
Você viu 6, do total de 7 páginas

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Prévia do material em texto

1 
Atividade Prática – Circuito Lógico em VHDL 
Centro Universitário UNINTER 
Curso de Engenharia Elétrica 
 
 
Resumo. Neste relatório serão apresentados os resultados de experimentos realizados em 
ambiente de lógica programável, através do Software Quartus II, afins de demonstrar o 
funcionamento das portas lógicas assim como a programação das mesmas em linguagem 
VHDL, no kit de circuito lógico FPGA Cyclone IV. Além da programação, será feito os circuitos 
lógicos em TTL para efeito comparativo de funcionalidade. 
 
Palavras-chave: Lógica Programável, TTL, FPGA, QUARTUS II, Cyclone IV, VHDL, Circuitos 
Lógicos 
1 Introdução 
 
Quando falamos em sistemas embarcados e toda arquitetura automatizada e programada para 
executar uma atividade, pensamos logo: - Como deve ser o interior dessas máquinas? Como 
chegamos a este nível de tecnologia? Questões que vem e trazem a sociedade sobre a 
programação de dispositivos eletrônicos, que se tornou o sinônimo para o ponto de partida nesse 
admirável mundo de controle e automação. 
Nos dias atuais, existem uma gama de componentes, arquiteturas e tecnologias disponíveis 
no mercado, e uma delas é a tecnologia das FPGAs. Quando você adquire um chip pronto, como 
um Cyclone IV você é capaz de alterar sua programação e quais funções ele irá executar. 
As FPGAs surgiram como um avanço tecnológico sob as necessidades de configuração e 
programação de chips, fazendo-as totalmente possível fazer do hardware, uma arquitetura 
configurável através um chip capaz de ter sua lógica digital dinamicamente reconfigurada, de 
acordo com a necessidade. Com outros dizeres: através do FPGA é possível desenvolver uma 
arquitetura computacional e programar uma série de instruções que irão controlar a arquitetura 
criada. 
2 Procedimento Experimental 
 
 Este experimento consiste em realizar 2 montagens de 1 circuito lógico combinacional, 
a ser escolhido pelo aluno, entre as opções listadas no item 2.1. 
 
As 2 montagens são: 
 
1ª – Em protoboard montar o circuito lógico escolhido utilizando circuitos integrados TTL 
(portas AND, OR, NOT). 
 
2ª – No kit FPGA em conjunto com um protoboard (para montagem de chaves e LEDs; 
incluindo os resistores necessários) testar o projeto VHDL do circuito lógico escolhido, 
gravando no chip Cyclone II. 
Deve-se realizar o comparativo entre a operação do projeto implementado no kit FPGA Cyclone 
II e o circuito lógico com circuitos integrados TTL. 
O teste a ser realizado deve ser a comprovação da operação dos circuitos com base em sua 
tabela verdade, testando todas as combinações. 
 
 
2 
 
Deve ser implementado o código VHDL em um projeto criado no ambiente Altera Quartus 
II, associando-se às portas de entrada e saída definidas na entidade de projeto os pinos do kit 
Cyclone II por meio da ferramenta Pin Planner do Quartus II. 
 
Observações sobre a tensão de alimentação e tensão aplicável aos pinos de entrada e saída: 
 
- No kit FPGA Altera Cyclone os pinos de I/O operam com níveis de tensão de 0V a 3,3V. 
- Para os circuitos integrados TT L de vê-se utilizar uma fonte de alimentação específica de 5V, 
sendo que os seus pinos de I/O irão trabalhar com níveis de tensão de 0V a 5V. 
 
*Não deve haver interconexão entre o circuito de teste do projeto realizado no kit FPGA 
Cyclone com o circuito de teste do circuito lógico combinacional com circuitos integrados TTL, 
visto que ambos trabalham com tensão diferente de operação das suas portas de I/O. 
 
2.1 - Opções de Circuitos 
 
O circuito lógico a ser projeta do em FPGA e montado com portas lógicas deve ser escolhido 
entre os definidos pelas expressões lógicas abaixo: 
 
Opção 1: S = (A AND (NOT B)) OR ((NOT A) AND B) 
Opção 2: S = ((NOT A) OR (NOT B)) AND (NOT C) 
Opção 3: S = NOT ((A AND B) OR C) 
Opção 4: S = (A AND B) OR (A AND C) OR (B AND C) 
 
2.2 - Procedimentos de Montagem da Fonte de Alimentação de 5V para Teste do 
Circuito Lógico TTL 
 
1º Passo: Conectar os cabos de saída do adaptador AC ao conector IN da fonte ajustável, os 
dois cabos podem ser inseridos em qualquer posição do conector, então conectar o adaptador 
AC à rede elétrica. Regular a sua tensão de saída para 5V±5%, medindo com o multímetro, 
conectando a ponteira vermelha na saída OUT + e a ponteira preta na saída OUT -, estando a 
chave seletora na posição de medição de tensão na escala de 20V e com o botão pressionado 
para a medição DC. Após este ajuste desconectar o adaptador AC da rede elétrica (será ligado 
novamente apenas depois de estar com todo o circuito montado). 
 
2º Passo: Realizar as conexões da entrada de alimentação: 
• Conectar no protoboard o terminal de parafuso, que irá receber a entrada de alimentação, 
nos pontos d2, d4 e d6. 
• Inserir um cabo rígido vermelho entre o ponto b2 e o primeiro ponto da coluna de VCC. 
• Inserir um cabo rígido azul entre o ponto b4 e o primeiro ponto da coluna de GND. 
• Utilizar dois cabos flexíveis, um da cor azul e outro da cor amarela, ambos com 
comprimento de 10cm e com suas pontas desencapadas, expondo 0,5cm do cabo. Então 
ligar uma das extremidades do cabo azul no terminal OUT (–) da fonte ajustável e uma 
das extremidades do cabo amarelo no terminal OUT (+) da fonte ajustável. Então ligar a 
outra extremidade do cabo azul no terminal de parafuso que está na posição b4 do 
protoboard e a outra extremidade do cabo amarelo no terminal de parafuso que está na 
posição b2 do protoboard. 
3 Análise e Resultados 
 
 
3 
 
Segue abaixo as opção escolhida dentre as quatro permitidas: 
 
Opção: S = (A AND B) OR (A AND C) OR (B AND C) 
 
 
3.1) Diagrama esquemático e a tabela verdade do circuito escolhido. 
 
Figura 1 – Diagrama lógico 1 - S = (A AND B) OR (A AND C) OR (B AND C) 
 
A B C S 
0 0 0 0 
0 0 1 0 
0 1 0 0 
0 1 1 1 
1 0 0 0 
1 0 1 1 
1 1 0 1 
1 1 1 1 
 
Tabela 1 - Tabela Verdade da Opção 1 S = (A AND B) OR (A AND C) OR (B AND C) 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
4 
3.2) Descrição VHDL dos circuitos lógicos escolhidos. 
 
 
Figura 2 – Descrição VHDL Opção 1 
 
3.3) Associação dos pinos do FPGA na ferramenta Pin Planner. 
 
 
Figura 3 – Associação dos pinos do FPGA no Pin Planner Opção 1 
 
 
 
 
 
 
 
 
 
 
 
5 
3.4) Diagrama de tempo da simulação VWF do circuito implementado em VHDL no Quartus II com 
todas as condições da tabela verdade. 
 
Figura 4 – Diagrama de tempo ref/ tabela verdade VWF Opção 2 
3.5) Fotos da montagem do circuito de teste 
 
 
Figura 5 – Circuito Lógico Combinacional 1 S = (A AND B) OR (A AND C) OR (B AND C) 
 
 
 
 
 Figura 6 – Foto da bancada com o Osciloscópio montado 
 
 
 
6 
 
Figura 7 – Foto da bancada com o Osciloscópio montado 
 
 
 
7 
 
 
 
Figura 8 – Check de I/O ref/ Tabela verdade S = (A AND B) OR (A AND C) OR (B AND C) 
 
 
4 Conclusão 
Mesmo com a falta de familiaridade com o software Quartus II e a tecnologia 
FPGA, podemos associar o funcionamento do circuito através da similaridade dos circuitos 
montados em protoboard com TTL. Durante a realização do projeto foi possível constatar o 
funcionamento das portas lógicas conforme os resultados estabelecidos na tabela da verdade. 
Além disso, foi possível gravar o circuito em um kit FPGA e observar os mesmos resultados.
5 Referências 
CICHACZEWSKI, Ederson. Lógica Programável. Curitiba: Uninter, 2023. Material de aula. 
UNIVIRTUS, roteiro de atividade prática – Lógica Programável. Disponível 
em:<https://univirtus.uninter.com/ava/web/#/ava/roteiro-de-estudo>. Acesso em: 23 jun. 
2023.

Continue navegando