Buscar

uma memoria ram implementada em vhdl deve ter o seu tamanho definido na declaração

💡 1 Resposta

User badge image

Ed Verified user icon

Sim, ao implementar uma memória RAM em VHDL, é necessário definir o tamanho da memória na declaração. Isso pode ser feito por meio da definição do número de bits de endereço e do número de bits de dados na declaração da entidade da memória RAM. Por exemplo: entity memoria_ram is port ( endereco: in std_logic_vector(7 downto 0); dado: inout std_logic_vector(7 downto 0); write_enable: in std_logic; clock: in std_logic; reset: in std_logic ); end entity memoria_ram; Nesse exemplo, a memória RAM tem 8 bits de endereço e 8 bits de dados.

0
Dislike0

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

✏️ Responder

SetasNegritoItálicoSublinhadoTachadoCitaçãoCódigoLista numeradaLista com marcadoresSubscritoSobrescritoDiminuir recuoAumentar recuoCor da fonteCor de fundoAlinhamentoLimparInserir linkImagemFórmula

Para escrever sua resposta aqui, entre ou crie uma conta

User badge image

Outros materiais