Buscar

PERGUNTA 3 Considere o seguinte código VHDL: library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity circuito is Port ( SEL : in STD_LOGIC; ...

PERGUNTA 3 Considere o seguinte código VHDL: library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity circuito is Port ( SEL : in STD_LOGIC; A,B : in STD_LOGIC_VECTOR (3 downto 0); X : out STD_LOGIC_VECTOR (3 downto 0)); end circuito; architecture arq of circuito is begin X <= A when (SEL = '0') else B; end arq; Esse código VHDL implementa qual componente? a. Multiplexador 2-para-1 de 4 bits. b. Decodificador de 4 bits. c. Multiplexador 4-para-1 de 1 bit. d. Multiplexador 4-para-1 de 3 bits. e. Somador/Subtrator de 4 bits.

Respostas

User badge image

Ed Verified user icon

Vamos analisar as opções: a. Multiplexador 2-para-1 de 4 bits: Errado. O código VHDL apresentado implementa um multiplexador 2-para-1, mas de 4 bits. b. Decodificador de 4 bits: Errado. O código não implementa um decodificador, mas sim um multiplexador. c. Multiplexador 4-para-1 de 1 bit: Errado. O código implementa um multiplexador 2-para-1, não um multiplexador 4-para-1. d. Multiplexador 4-para-1 de 3 bits: Errado. O código implementa um multiplexador 2-para-1 de 4 bits, não de 3 bits. e. Somador/Subtrator de 4 bits: Errado. O código implementa um multiplexador, não um somador/subtrator. Portanto, a resposta correta é: a. Multiplexador 2-para-1 de 4 bits.

0
Dislike0

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Responda

SetasNegritoItálicoSublinhadoTachadoCitaçãoCódigoLista numeradaLista com marcadoresSubscritoSobrescritoDiminuir recuoAumentar recuoCor da fonteCor de fundoAlinhamentoLimparInserir linkImagemFórmula

Para escrever sua resposta aqui, entre ou crie uma conta

User badge image

Continue navegando