Buscar

Sistemas Digitais I - Poli - Psub 2014

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes
Você viu 3, do total de 7 páginas

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes
Você viu 6, do total de 7 páginas

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Prévia do material em texto

PCS2215/PCS3115 - Sistemas Digitais I 
 
Resolução da Prova Substitutiva – 3 de Dezembro de 2014 
ATENÇÃO: 
Esta resolução tem caráter exclusivamente informativo; 
As soluções apresentadas não definem os critérios de correção da prova; 
As respostas apresentadas não são únicas, podendo existir soluções corretas diferentes; 
Se algum erro for detectado, solicitamos informar os professores da disciplina, para que seja gerada uma errata. 
 
Questão 1 (valor: 2,5 pontos) 
1.a. (0,3 ponto) Faça a seguinte operação em Complemento de 2. Há transbordo? Justifique a sua resposta. 
 1 0 0 1 
 + 1 1 1 0 
 _________ 
 0 1 1 1 
 
1.b. (0,2 ponto) Descreva a operação e o resultado em base 10 com sinal. 
 - 7 
 - 2 
 + 7 
1.c. (0,5 ponto) Descreva 2 métodos para detectar transbordo em operação em Complemento de 2. 
Método 1: se o valor do bit mais significativo dos 2 adendos for igual e o valor do bit mais significativo da 
soma for diferente, então há transbordo. 
Método 2: se o “vem um” do bit mais significativo na soma for diferente do “vai um” no mesmo bit, então 
há transbordo. 
 
1.d. (1,5 ponto) Construa um Código Gray de 4 bits. Descreva o método utilizado para a construção do 
código. 
A característica essencial de um Código Gray é que entre 2 palavras código adjacentes, há diferença em 
apenas 1 dos bits entre as palavras código. 
 
Um método para construção de Código Gray é o do “Reflected Gray Code”, que é um método recursivo: 
A. O Código Gray de 1 bit tem 2 palavras código, 0 e 1; 
B. As primeiras 2n palavras código de um Código Gray de n+1 bits é igual às palavras código de um 
Código de n bits escritas com a adição de um bit 0 como bit mais significativo; 
C. As últimas 2n palavras código de um Código Gray de n+1 bits é igual às palavras código de um Código 
de n bits escritas em ordem reversa com a adição de um bit 1 como bit mais significativo. 
 
Assim, um Código Gray de 4 bits seria construído da seguinte maneira: 
Código de 1 bit: 0 e 1 
Código de 2 bits: 00, 01, 11 e 10 
Código de 3 bits: 000, 001, 011, 010, 110, 111, 101 e 100 
Código de 4 bits: 0000, 0001, 0011, 0010, 0110, 0111, 0101, 0100, 
 1100, 1101, 1111, 1110, 1010, 1011, 1001, 1000 
 
Sim, há transbordo, pois o resultado esperado (-9) está fora da faixa de 
representação em Complemento de 2 de 4 bits. 
Questão 2 (valor: 2,5 pontos): 
 
a) (1,0 ponto) Considere o fragmento de descrição 
VHDL ao lado. 
a. (0,5 pontos) Identifique as entradas e saídas, e 
desenhe o diagrama esquemático correspondente a 
descrição. 
b. (0,5 pontos) Considerando que esta é uma descrição 
de um bloco básico conhecido, identifique-o e justifique 
sua resposta usando tabela verdade. 
 
a.a) 
(0,2) Entradas: a, b e s, ambas de 1 bit std_logic. 
Saída: y. (0,3) Diagrama (com os nomes dos sinais). 
 
a.b) (0,5) O bloco é um multiplexador 2:1 pois a saída y 
será igual a a ou b dependendo do valor de s. As duas 
portas and servem como “selecionadores” de qual 
entrada irá para a or e possuem como “selecionador” o 
sinal s, conforme mostrado nas tabelas verdade. As três 
tabelas abaixo são as tabelas verdades em versões diferentes. A mais a esquerda é a tabela completa, a do 
meio a mesma tabela com don’t cares para a entrada “desabilitada” e a mais a direita a tabela com a 
função multiplexadora explícita (qualquer uma é aceita como resposta e não é necessário apresentar as 
colunas as e bs). 
 
 
 
 
 
 
 
 
 
 
 
s	
   a	
   as	
   b	
   bs	
   y	
  
0	
   0	
   0	
   x	
   0	
   0	
  
0	
   1	
   1	
   x	
   0	
   1	
  
1	
   x	
   0	
   0	
   0	
   0	
  
1	
   x	
   0	
   1	
   1	
   1	
  
s	
   as	
   bs	
   y	
  
0	
   a	
   0	
   a	
  
1	
   0	
   b	
   b	
  
s	
   a	
   as	
   b	
  bs	
   y	
  
0	
   0	
   0	
   0	
  0	
   0	
  
0	
   0	
   0	
   1	
  0	
   0	
  
0	
   1	
   1	
   0	
  0	
   1	
  
0	
   1	
   1	
   1	
  0	
   1	
  
1	
   0	
   0	
   0	
  0	
   0	
  
1	
   0	
   0	
   1	
  1	
   1	
  
1	
   1	
   0	
   0	
  0	
   0	
  
1	
   1	
   0	
   1	
  1	
   1	
  
architecture lum of psq2 is 
signal as, bs, ns : std_logic; 
begin 
 as <= ns and a; 
 bs <= s and b; 
 ns <= not s; 
 y <= bs or as; 
end lum; 
 
 
b) (1,5	
  ponto)	
  Considere	
  o	
  diagrama	
  esquemático	
  abaixo.	
  
a. (1,0 ponto) Extraia a(s) tabela(as) verdade usando qualquer uma das técnicas de análise vistas durante 
o curso. Especifique qual técnica escolheu. Baseado na tabela verdade, infira a utilidade do circuito (dica: 
é um circuito utilizado em operações aritméticas). 
b. (0,5 pontos) Encontre a(s) função(ões) de chaveamento. 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
b.a) (0,5) A técnica mais fácil é a obtenção da expressão algébrica por propagação, pois assim já obtém-
se o item (b.b). Em cada ligação, coloca-se a expressão equivalente, conforme figura, obtendo-se as 
expressões do item (b.b) e finalmente a tabela verdade. É possível utilizar qualquer outra técnica, 
incluindo a força bruta (exercitar o circuito com todas as entradas possíveis). 
 (0,5) A tabela verdade mostra o comportamento de um multiplicador de duas palavras de dois bits. Além 
da tabela verdade, o multiplicador pode ser inferido pelo circuito, observando a multiplicação (and) e 
soma (xor) com os bits deslocados, e a geração do carry (and) com sua participação na soma quando 
aplicável (i.e. cálculo de R2). 
AxB=R, onde A=A1A0, B=B1B0 e R=R3R2R1R0. 
 
b.b) (0,5) Funções: 
R0 = A0.B0 
R1 = (A0.B1)⊕(A1.B0) 
R2 = (A0.B1.A1.B0)⊕(A1.B1) 
R3 = (A0.B1.A1.B0).(A1.B1) = A0.B1.A1.B0 
Nota: o enunciado não solicita minimizar as funções. 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
x A1 A0 
 B1 B0 
+ A1.B0 A0.B0 
 A1.B1 A0.B1 
R3 R2 R1 R0 
A1	
   A0	
   B1	
   B0	
   R3	
   R2	
   R1	
   R0	
  
0	
   0	
   0	
   0	
   0	
   0	
   0	
   0	
  
0	
   0	
   0	
   1	
   0	
   0	
   0	
   0	
  
0	
   0	
   1	
   0	
   0	
   0	
   0	
   0	
  
0	
   0	
   1	
   1	
   0	
   0	
   0	
   0	
  
0	
   1	
   0	
   0	
   0	
   0	
   0	
   0	
  
0	
   1	
   0	
   1	
   0	
   0	
   0	
   1	
  
0	
   1	
   1	
   0	
   0	
   0	
   1	
   0	
  
0	
   1	
   1	
   1	
   0	
   0	
   1	
   1	
  
1	
   0	
   0	
   0	
   0	
   0	
   0	
   0	
  
1	
   0	
   0	
   1	
   0	
   0	
   1	
   0	
  
1	
   0	
   1	
   0	
   0	
   1	
   0	
   0	
  
1	
   0	
   1	
   1	
   0	
   1	
   1	
   0	
  
1	
   1	
   0	
   0	
   0	
   0	
   0	
   0	
  
1	
   1	
   0	
   1	
   0	
   0	
   1	
   1	
  
1	
   1	
   1	
   0	
   0	
   1	
   1	
   0	
  
1	
   1	
   1	
   1	
   1	
   0	
   0	
   1	
  
Questão 3 (valor: 2,5 pontos) - Síntese de circuitos combinatórios 
Considere o sistema de controle de alarme de uma casa. 
No controle do sistema de alarme, existe um botão que ativa o sistema (L). 
Os seguintes sensores fazem parte do sistema: 
• sensores de porta e/ou janela (P) aberta, um em cada porta de acesso a casa e janelas externas, são interligados 
e geram um único sinal indicando que existe porta de entrada da casa aberta; 
• os sensores de fumaça espalhados pela casa são interligados e geram um único sinal indicando a presença de 
fumaça (F); 
• 1 sensor de vazamento de água ligado a caixa d'água (A); 
 
Caso o sistema esteja ligado (L = 1) e qualquer sensor sinalize um evento, o alarme sonoro (AS) deve tocar. 
Caso ocorra vazamento de água, independente do sistema estar ligado, a luz de emergência (LE) deve ser acionada. 
Caso seja detectada fumaça, independente do sistema estar ligado, a luz de emergência (LE) e o alarme sonoro (AS) 
devem ser acionados. 
 
(a) [0,5 ponto] Escreva a Tabela Verdade correspondenteaos sinais de saída AS e LE, considerando como entrada os 
sinais gerados pelos sensores. 
 
 
 
L A F P AS LE 
0 0 0 0 0 0 
0 0 0 1 0 0 
0 0 1 0 1 1 
0 0 1 1 1 1 
0 1 0 0 0 1 
0 1 0 1 0 1 
0 1 1 0 1 1 
0 1 1 1 1 1 
1 0 0 0 0 0 
1 0 0 1 1 0 
1 0 1 0 1 1 
1 0 1 1 1 1 
1 1 0 0 1 1 
1 1 0 1 1 1 
1 1 1 0 1 1 
1 1 1 1 1 1 
(b) [1 ponto] Faça o Mapa de Karnaugh para os sinais de saída AS e LE, e obtenha a função de chaveamento 
representada pela soma de produtos. 
 
Sinal AS 
 LA 
00 01 11 10 
FP 00 0 0 1 0 
01 0 0 1 1 
11 1 1 1 1 
10 1 1 1 1 
 
Sinal LE 
 LA 
00 01 11 10 
FP 00 0 1 1 0 
01 0 1 1 0 
11 1 1 1 1 
10 1 1 1 1 
 
 
AS = F + L.A + L.P 
LE = A + F 
 
(c) [0,5 ponto] Implemente o circuito digital que gera os AS utilizando multiplexador de 4x1. 
 
 
 
 
(d) [0,5 ponto] Implemente o circuito digital que gera os LE utilizando decoficador. 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
L F 
0 
1 
1 
A + P 
AS 
P 
F 
A 
Y1 + Y2 + Y3 + Y4 + Y5 + Y6 + Y7 = 
LE 
Questão 4 (valor: 2,5 pontos) – 4.a. (valor: 1,0 ponto). Considere que se dispõe de um circuito 
comparador de duas palavras binárias (A e B) de 4 bits que executa o algoritmo de comparação: 
A>B se 
– A3>B3 ( i.e. A3=1 e B3=0) 
– Ou se A3=B3 e A2>B2 
– Ou se A3=B3 e A2=B2 e A1>B1 
– Ou se A3=B3 e A2=B2 e A1=B1 e A0>B0 
Dado o Diagrama de Blocos e a Tabela Funcional na parte esquerda da Figura explique, e justifique 
detalhadamente, porque (e como) o circuito do Diagrama Lógico da parte direita da Figura (dentro do 
tracejado) resolve o algoritmo para uma fatia Ai e Bi das palavras binárias. 
 
Resposta: 
A decisão sobre a comparação (CiOUT) é obtida na fatia mais significativa, independentemente dos 
resultados parciais nas fatias menos significativas. Caso haja igualdade, então, nesta fatia mais significativa, 
leva-se em consideração a decisão tomada na fatia menos significativa, que é obtida pela leitura da condição 
de entrada (CiIN = Ci-1OUT). Caso esta fatia i ainda não seja a fatia mais significativa, esta fatia repassará esta 
decisão parcial para a fatia mais significativa que ela (CiOUT = Ci+1IN). 
Internamente, na fatia i, as duas portas AND (cada uma com uma de suas entradas negada) verificam 
respectivamente, se Ai < Bi ou se Ai > Bi. A porta NOR indica que, se Ai não é maior que Bi e também se Ai 
não é menor que Bi, então Ai = Bi. Neste caso a saída da porta NOR habilita a fatia menos significativa para 
tomar a decisão. Se ocorre a circunstância de que se verifica que Ai < Bi ou que Ai > Bi, então esta decisão é 
repassada para a saída pelas respectivas portas OR. 
 
 
 
 
4.b. (valor: 1,5 pontos) Considere que se deseja implementar a função de quatro variáveis, x4, x3, x2 e x1, 
definida na figura por meio de sua soma de mintermos. Dispõe-se apenas de um multiplexador 4 x 1, com 2 
sinais de seleção B (+significativo) e A (-significativo). Uma restrição imposta é que as 2 variáveis da 
função, escolhidas para gerar os sinais de seleção A e B, impliquem que o valor lógico das entradas I0, I1, I2 
e I3 (a ser calculado em função das outras 2 variáveis que restaram) possa ser determinado pelas adjacências 
marcadas no Mapa de Karnaugh fornecido. Pede-se: Preencher o Mapa de Karnaugh da função; determinar 
as 2 variáveis da função que gerarão os sinais de seleção A e B; preencher os Mapas de Karnaugh das 
funções que determinarão o valor lógico das entradas I0, I1, I2 e I3 do multiplexador; determinar as 
expressões de chaveamento das entradas I0, I1, I2 e I3, completando a síntese da função.

Outros materiais

Outros materiais