Buscar

Trabalho 2 - Identificação de Sistemas

Esta é uma pré-visualização de arquivo. Entre para ver o arquivo original

2 - Identifica��o de Sistemas/aula04_IdentSist_01_sfun.mexw64
2 - Identifica��o de Sistemas/data_A_01.mat
2 - Identifica��o de Sistemas/data_A_02.mat
2 - Identifica��o de Sistemas/data_A_03.mat
2 - Identifica��o de Sistemas/data_B_01.mat
2 - Identifica��o de Sistemas/data_B_02.mat
2 - Identifica��o de Sistemas/data_B_03.mat
2 - Identifica��o de Sistemas/data_B_04.mat
2 - Identifica��o de Sistemas/data_C_01.mat
2 - Identifica��o de Sistemas/data_C_02.mat
2 - Identifica��o de Sistemas/data_C_03.mat
2 - Identifica��o de Sistemas/IdentificacaoSistemas.m
%% CARREGAR DADOS
load('data_A_01');
load('data_A_02');
load('data_A_03');
load('data_B_01');
load('data_B_02');
load('data_B_04');
load('data_C_01');
load('data_C_02');
load('data_C_03');
figure(1)
plot(data_A_01(:,1),'k');
hold on
plot(data_A_01(:,2),'r');
figure(2)
plot(data_B_01(:,1),'k');
hold on
plot(data_B_01(:,2),'r');
figure(3)
plot(data_C_01(:,1),'k');
hold on
plot(data_C_01(:,2),'r');
%% SISTEMA A
yA01 = data_A_01(:,2);
uA01 = data_A_01(:,1);
yA02 = data_A_02(:,2);
uA02 = data_A_02(:,1);
yA03 = data_A_03(:,2);
uA03 = data_A_03(:,1);
dadosA01 = [yA01(1:end-1) uA01(1:end-1)];
saidaA01 = [yA01(2:end)];
dadosA02 = [yA02(1:end-1) uA02(1:end-1)];
saidaA02 = [yA02(2:end)];
dadosA03 = [yA03(1:end-1) uA03(1:end-1)];
saidaA03 = [yA03(2:end)];
matrizA01 = inv((dadosA01')*(dadosA01))*(dadosA01')*saidaA01
matrizA02 = inv((dadosA02')*(dadosA02))*(dadosA02')*saidaA02
matrizA03 = inv((dadosA03')*(dadosA03))*(dadosA03')*saidaA03
z = 0;
for k = 1 : length(uA02)
 z(k+1) = matrizA02(1)*z(k) + matrizA02(2)*uA02(k) ;
end
stairs(z)
hold all
stairs(yA02)
numDA = [matrizA01(2)]
denDA = [1 -matrizA01(1)]
TA = 0.01;
GdA = tf(numDA, denDA, TA)
CA = d2c(GdA)
[nCA,dCA] = tfdata(CA,'v')
% rltool(CA)
numcontA = 25*[0.17 1]
dencontA = [1 0]
%% SISTEMA B
yB01 = data_B_01(:,2);
uB01 = data_B_01(:,1);
yB02 = data_B_02(:,2);
uB02 = data_B_02(:,1);
yB04 = data_B_04(:,2);
uB04 = data_B_04(:,1);
dadosB01 = [yB01(2:end-1) yB01(1:end-2) uB01(1:end-2)];
saidaB01 = [yB01(3:end)];
dadosB02 = [yB02(2:end-1) yB02(1:end-2) uB02(1:end-2)];
saidaB02 = [yB02(3:end)];
dadosB04 = [yB04(2:end-1) yB04(1:end-2) uB04(1:end-2)];
saidaB04 = [yB04(3:end)];
matrizB01 = inv((dadosB01')*(dadosB01))*(dadosB01')*saidaB01
matrizB02 = inv((dadosB02')*(dadosB02))*(dadosB02')*saidaB02
matrizB04 = inv((dadosB04')*(dadosB04))*(dadosB04')*saidaB04
z = [0 0];
for k = 1 : length(uB02)
 z(k+2) = matrizB04(1)*z(k+1) + matrizB04(2)*z(k) + matrizB04(3)*uB02(k) ;
end
stairs(z)
hold all
stairs(yB02)
numB = [matrizB04(3)]
denB = [1 -matrizB04(1) -matrizB04(2)]
TB = 0.01;
GdB = tf(numB, denB, TB)
CB = d2c(GdB)
[nCB,dCB] = tfdata(CB,'v')
% rltool(CB)
numcontB = 2.2*[0.077^2 0.13 1]
dencontB = [0.056 1 0]
%% SISTEMA C
yC01 = data_C_01(:,2);
uC01 = data_C_01(:,1);
yC02 = data_C_02(:,2);
uC02 = data_C_02(:,1);
yC03 = data_C_03(:,2);
uC03 = data_C_03(:,1);
dadosC01 = [yC01(3:end-1) yC01(2:end-2) yC01(1:end-3) uC01(1:end-3)];
saidaC01 = [yC01(4:end)];
dadosC02 = [yC02(3:end-1) yC02(2:end-2) yC02(1:end-3) uC02(1:end-3)];
saidaC02 = [yC02(4:end)];
dadosC03 = [yC03(3:end-1) yC03(2:end-2) yC03(1:end-3) uC03(1:end-3)];
saidaC03 = [yC03(4:end)];
matrizC01 = inv((dadosC01')*(dadosC01))*(dadosC01')*saidaC01
matrizC02 = inv((dadosC02')*(dadosC02))*(dadosC02')*saidaC02
matrizC03 = inv((dadosC03')*(dadosC03))*(dadosC03')*saidaC03
z = [0 0 0] ;
for k = 1 : length(uC02)
 z(k+3) = matrizC03(1)*z(k+2) + matrizC03(2)*z(k+1) + matrizC03(3)*z(k) + matrizC03(4)*uC02(k) ;
end
stairs(z)
hold all
stairs(yC02)
numC = [matrizC03(4)]
denC = [1 -matrizC03(1) -matrizC03(2) -matrizC03(3)]
TC = 0.01;
GdC = tf(numC, denC, TC)
CC = d2c(GdC)
[nCC,dCC] = tfdata(CC,'v')
% rltool(CC)
numcontC = 0.09*[0.12^2 0.12 1]
dencontC = [0.11^2 0.11 1 0]
2 - Identifica��o de Sistemas/IdentificacaoSistemas.mdl.r2011b
Model {
 Name			 "identSYS"
 Version		 7.8
 MdlSubVersion		 0
 GraphicalInterface {
 NumRootInports	 0
 NumRootOutports	 0
 ParameterArgumentNames ""
 ComputedModelVersion "1.37"
 NumModelReferences	 0
 NumTestPointedSignals 0
 }
 SavedCharacterEncoding "windows-1252"
 SaveDefaultBlockParams on
 ScopeRefreshTime	 0.035000
 OverrideScopeRefreshTime on
 DisableAllScopes	 off
 DataTypeOverride	 "UseLocalSettings"
 DataTypeOverrideAppliesTo "AllNumericTypes"
 MinMaxOverflowLogging	 "UseLocalSettings"
 MinMaxOverflowArchiveMode "Overwrite"
 FPTRunName		 "Run 1"
 MaxMDLFileLineLength	 120
 Created		 "Sat Apr 16 12:16:33 2011"
 Creator		 "Aurelio Salton"
 UpdateHistory		 "UpdateHistoryNever"
 ModifiedByFormat	 "%<Auto>"
 LastModifiedBy	 "10083783"
 ModifiedDateFormat	 "%<Auto>"
 LastModifiedDate	 "Mon Mar 30 20:28:26 2015"
 RTWModifiedTimeStamp	 349648057
 ModelVersionFormat	 "1.%<AutoIncrement:37>"
 ConfigurationManager	 "None"
 SampleTimeColors	 off
 SampleTimeAnnotations	 off
 LibraryLinkDisplay	 "none"
 WideLines		 off
 ShowLineDimensions	 off
 ShowPortDataTypes	 off
 ShowDesignRanges	 off
 ShowLoopsOnError	 on
 IgnoreBidirectionalLines off
 ShowStorageClass	 off
 ShowTestPointIcons	 on
 ShowSignalResolutionIcons on
 ShowViewerIcons	 on
 SortedOrder		 off
 ExecutionContextIcon	 off
 ShowLinearizationAnnotations on
 BlockNameDataTip	 off
 BlockParametersDataTip off
 BlockDescriptionStringDataTip	off
 ToolBar		 on
 StatusBar		 on
 BrowserShowLibraryLinks off
 BrowserLookUnderMasks	 off
 SimulationMode	 "normal"
 LinearizationMsg	 "none"
 Profile		 off
 ParamWorkspaceSource	 "MATLABWorkspace"
 AccelSystemTargetFile	 "accel.tlc"
 AccelTemplateMakefile	 "accel_default_tmf"
 AccelMakeCommand	 "make_rtw"
 TryForcingSFcnDF	 off
 RecordCoverage	 off
 CovPath		 "/"
 CovSaveName		 "covdata"
 CovMetricSettings	 "dw"
 CovNameIncrementing	 off
 CovHtmlReporting	 on
 CovForceBlockReductionOff on
 covSaveCumulativeToWorkspaceVar on
 CovSaveSingleToWorkspaceVar on
 CovCumulativeVarName	 "covCumulativeData"
 CovCumulativeReport	 off
 CovReportOnPause	 on
 CovModelRefEnable	 "Off"
 CovExternalEMLEnable	 off
 ExtModeBatchMode	 off
 ExtModeEnableFloating	 on
 ExtModeTrigType	 "manual"
 ExtModeTrigMode	 "normal"
 ExtModeTrigPort	 "1"
 ExtModeTrigElement	 "any"
 ExtModeTrigDuration	 1000
 ExtModeTrigDurationFloating "auto"
 ExtModeTrigHoldOff	 0
 ExtModeTrigDelay	 0
 ExtModeTrigDirection	 "rising"
 ExtModeTrigLevel	 0
 ExtModeArchiveMode	 "off"
 ExtModeAutoIncOneShot	 off
 ExtModeIncDirWhenArm	 off
 ExtModeAddSuffixToVar	 off
 ExtModeWriteAllDataToWs off
 ExtModeArmWhenConnect	 on
 ExtModeSkipDownloadWhenConnect off
 ExtModeLogAll		 on
 ExtModeAutoUpdateStatusClock on
 BufferReuse		 on
 ShowModelReferenceBlockVersion off
 ShowModelReferenceBlockIO off
 Array {
 Type		 "Handle"
 Dimension		 1
 Simulink.ConfigSet {
 $ObjectID		 1
 Version		 "1.11.1"
 Array {
	Type			"Handle"
	Dimension		9
	Simulink.SolverCC {
	 $ObjectID		 2
	 Version		 "1.11.1"
	 StartTime		 "0.0"
	 StopTime		 "5"
	 AbsTol		 "auto"
	 FixedStep		 "auto"
	 InitialStep		 "auto"
	 MaxNumMinSteps	 "-1"
	 MaxOrder		 5
	 ZcThreshold		 "auto"
	 ConsecutiveZCsStepRelTol "10*128*eps"
	 MaxConsecutiveZCs	 "1000"
	 ExtrapolationOrder	 4
	 NumberNewtonIterations 1
	 MaxStep		 "auto"
	 MinStep		 "auto"
	 MaxConsecutiveMinStep	 "1"
	 RelTol		 "1e-3"
	 SolverMode		 "Auto"
	 ConcurrentTasks	 off
	 Solver		 "ode45"
	 SolverName		 "ode45"
	 SolverJacobianMethodControl "auto"
	 ShapePreserveControl	 "DisableAll"
	 ZeroCrossControl	 "UseLocalSettings"
	 ZeroCrossAlgorithm
"Nonadaptive"
	 AlgebraicLoopSolver	 "TrustRegion"
	 SolverResetMethod	 "Fast"
	 PositivePriorityOrder	 off
	 AutoInsertRateTranBlk	 off
	 SampleTimeConstraint	 "Unconstrained"
	 InsertRTBMode		 "Whenever possible"
	}
	Simulink.DataIOCC {
	 $ObjectID		 3
	 Version		 "1.11.1"
	 Decimation		 "1"
	 ExternalInput		 "[t, u]"
	 FinalStateName	 "xFinal"
	 InitialState		 "xInitial"
	 LimitDataPoints	 on
	 MaxDataPoints		 "1000"
	 LoadExternalInput	 off
	 LoadInitialState	 off
	 SaveFinalState	 off
	 SaveCompleteFinalSimState off
	 SaveFormat		 "Array"
	 SignalLoggingSaveFormat "ModelDataLogs"
	 SaveOutput		 on
	 SaveState		 off
	 SignalLogging		 on
	 DSMLogging		 on
	 InspectSignalLogs	 off
	 SaveTime		 on
	 ReturnWorkspaceOutputs off
	 StateSaveName		 "xout"
	 TimeSaveName		 "tout"
	 OutputSaveName	 "yout"
	 SignalLoggingName	 "logsout"
	 DSMLoggingName	 "dsmout"
	 OutputOption		 "RefineOutputTimes"
	 OutputTimes		 "[]"
	 ReturnWorkspaceOutputsName "out"
	 Refine		 "1"
	}
	Simulink.OptimizationCC {
	 $ObjectID		 4
	 Version		 "1.11.1"
	 Array {
	 Type		 "Cell"
	 Dimension		 4
	 Cell		 "ZeroExternalMemoryAtStartup"
	 Cell		 "ZeroInternalMemoryAtStartup"
	 Cell		 "NoFixptDivByZeroProtection"
	 Cell		 "OptimizeModelRefInitCode"
	 PropName		 "DisabledProps"
	 }
	 BlockReduction	 on
	 BooleanDataType	 on
	 ConditionallyExecuteInputs on
	 InlineParams		 off
	 UseIntDivNetSlope	 off
	 UseFloatMulNetSlope	 off
	 UseSpecifiedMinMax	 off
	 InlineInvariantSignals off
	 OptimizeBlockIOStorage on
	 BufferReuse		 on
	 EnhancedBackFolding	 off
	 StrengthReduction	 off
	 ExpressionFolding	 on
	 BooleansAsBitfields	 off
	 BitfieldContainerType	 "uint_T"
	 EnableMemcpy		 on
	 MemcpyThreshold	 64
	 PassReuseOutputArgsAs	 "Structure reference"
	 ExpressionDepthLimit	 2147483647
	 FoldNonRolledExpr	 on
	 LocalBlockOutputs	 on
	 RollThreshold		 5
	 SystemCodeInlineAuto	 off
	 StateBitsets		 off
	 DataBitsets		 off
	 UseTempVars		 off
	 ZeroExternalMemoryAtStartup on
	 ZeroInternalMemoryAtStartup on
	 InitFltsAndDblsToZero	 on
	 NoFixptDivByZeroProtection off
	 EfficientFloat2IntCast off
	 EfficientMapNaN2IntZero on
	 OptimizeModelRefInitCode off
	 LifeSpan		 "inf"
	 MaxStackSize		 "Inherit from target"
	 BufferReusableBoundary on
	 SimCompilerOptimization "Off"
	 AccelVerboseBuild	 off
	 AccelParallelForEachSubsystem	on
	}
	Simulink.DebuggingCC {
	 $ObjectID		 5
	 Version		 "1.11.1"
	 RTPrefix		 "error"
	 ConsistencyChecking	 "none"
	 ArrayBoundsChecking	 "none"
	 SignalInfNanChecking	 "none"
	 SignalRangeChecking	 "none"
	 ReadBeforeWriteMsg	 "UseLocalSettings"
	 WriteAfterWriteMsg	 "UseLocalSettings"
	 WriteAfterReadMsg	 "UseLocalSettings"
	 AlgebraicLoopMsg	 "warning"
	 ArtificialAlgebraicLoopMsg "warning"
	 SaveWithDisabledLinksMsg "warning"
	 SaveWithParameterizedLinksMsg	"warning"
	 CheckSSInitialOutputMsg on
	 UnderspecifiedInitializationDetection	"Classic"
	 MergeDetectMultiDrivingBlocksExec "none"
	 CheckExecutionContextPreStartOutputMsg off
	 CheckExecutionContextRuntimeOutputMsg	off
	 SignalResolutionControl "UseLocalSettings"
	 BlockPriorityViolationMsg "warning"
	 MinStepSizeMsg	 "warning"
	 TimeAdjustmentMsg	 "none"
	 MaxConsecutiveZCsMsg	 "error"
	 MaskedZcDiagnostic	 "warning"
	 IgnoredZcDiagnostic	 "warning"
	 SolverPrmCheckMsg	 "warning"
	 InheritedTsInSrcMsg	 "warning"
	 DiscreteInheritContinuousMsg "warning"
	 MultiTaskDSMMsg	 "error"
	 MultiTaskCondExecSysMsg "error"
	 MultiTaskRateTransMsg	 "error"
	 SingleTaskRateTransMsg "none"
	 TasksWithSamePriorityMsg "warning"
	 SigSpecEnsureSampleTimeMsg "warning"
	 CheckMatrixSingularityMsg "none"
	 IntegerOverflowMsg	 "warning"
	 Int32ToFloatConvMsg	 "warning"
	 ParameterDowncastMsg	 "error"
	 ParameterOverflowMsg	 "error"
	 ParameterUnderflowMsg	 "none"
	 ParameterPrecisionLossMsg "warning"
	 ParameterTunabilityLossMsg "warning"
	 FixptConstUnderflowMsg "none"
	 FixptConstOverflowMsg	 "none"
	 FixptConstPrecisionLossMsg "none"
	 UnderSpecifiedDataTypeMsg "none"
	 UnnecessaryDatatypeConvMsg "none"
	 VectorMatrixConversionMsg "none"
	 InvalidFcnCallConnMsg	 "error"
	 FcnCallInpInsideContextMsg "Use local settings"
	 SignalLabelMismatchMsg "none"
	 UnconnectedInputMsg	 "warning"
	 UnconnectedOutputMsg	 "warning"
	 UnconnectedLineMsg	 "warning"
	 SFcnCompatibilityMsg	 "none"
	 FrameProcessingCompatibilityMsg "warning"
	 UniqueDataStoreMsg	 "none"
	 BusObjectLabelMismatch "warning"
	 RootOutportRequireBusObject "warning"
	 AssertControl		 "UseLocalSettings"
	 EnableOverflowDetection off
	 ModelReferenceIOMsg	 "none"
	 ModelReferenceMultiInstanceNormalModeStructChecksumCheck "error"
	 ModelReferenceVersionMismatchMessage "none"
	 ModelReferenceIOMismatchMessage "none"
	 ModelReferenceCSMismatchMessage "none"
	 UnknownTsInhSupMsg	 "warning"
	 ModelReferenceDataLoggingMessage "warning"
	 ModelReferenceSymbolNameMessage "warning"
	 ModelReferenceExtraNoncontSigs "error"
	 StateNameClashWarn	 "warning"
	 SimStateInterfaceChecksumMismatchMsg "warning"
	 SimStateOlderReleaseMsg "error"
	 InitInArrayFormatMsg	 "warning"
	 StrictBusMsg		 "Warning"
	 BusNameAdapt		 "WarnAndRepair"
	 NonBusSignalsTreatedAsBus "none"
	 LoggingUnavailableSignals "error"
	 BlockIODiagnostic	 "none"
	 SFUnusedDataAndEventsDiag "warning"
	 SFUnexpectedBacktrackingDiag "warning"
	 SFInvalidInputDataAccessInChartInitDiag "warning"
	 SFNoUnconditionalDefaultTransitionDiag "warning"
	 SFTransitionOutsideNaturalParentDiag "warning"
	 SFUnconditionalTransitionShadowingDiag "warning"
	}
	Simulink.HardwareCC {
	 $ObjectID		 6
	 Version		 "1.11.1"
	 ProdBitPerChar	 8
	 ProdBitPerShort	 16
	 ProdBitPerInt		 32
	 ProdBitPerLong	 32
	 ProdBitPerFloat	 32
	 ProdBitPerDouble	 64
	 ProdBitPerPointer	 32
	 ProdLargestAtomicInteger "Char"
	 ProdLargestAtomicFloat "None"
	 ProdIntDivRoundTo	 "Undefined"
	 ProdEndianess		 "Unspecified"
	 ProdWordSize		 32
	 ProdShiftRightIntArith on
	 ProdHWDeviceType	 "32-bit Generic"
	 TargetBitPerChar	 8
	 TargetBitPerShort	 16
	 TargetBitPerInt	 32
	 TargetBitPerLong	 32
	 TargetBitPerFloat	 32
	 TargetBitPerDouble	 64
	 TargetBitPerPointer	 32
	 TargetLargestAtomicInteger "Char"
	 TargetLargestAtomicFloat "None"
	 TargetShiftRightIntArith on
	 TargetIntDivRoundTo	 "Undefined"
	 TargetEndianess	 "Unspecified"
	 TargetWordSize	 32
	 TargetTypeEmulationWarnSuppressLevel 0
	 TargetPreprocMaxBitsSint 32
	 TargetPreprocMaxBitsUint 32
	 TargetHWDeviceType	 "Specified"
	 TargetUnknown		 off
	 ProdEqTarget		 on
	}
	Simulink.ModelReferenceCC {
	 $ObjectID		 7
	 Version		 "1.11.1"
	 UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange"
	 CheckModelReferenceTargetMessage "error"
	 EnableParallelModelReferenceBuilds off
	 ParallelModelReferenceErrorOnInvalidPool on
	 ParallelModelReferenceMATLABWorkerInit "None"
	 ModelReferenceNumInstancesAllowed "Multi"
	 PropagateVarSize	 "Infer from blocks in model"
	 ModelReferencePassRootInputsByReference on
	 ModelReferenceMinAlgLoopOccurrences off
	 PropagateSignalLabelsOutOfModel off
	 SupportModelReferenceSimTargetCustomCode off
	}
	Simulink.SFSimCC {
	 $ObjectID		 8
	 Version		 "1.11.1"
	 SFSimEnableDebug	 on
	 SFSimOverflowDetection on
	 SFSimEcho		 on
	 SimBlas		 on
	 SimCtrlC		 on
	 SimExtrinsic		 on
	 SimIntegrity		 on
	 SimUseLocalCustomCode	 off
	 SimParseCustomCode	 on
	 SimBuildMode		 "sf_incremental_build"
	}
	Simulink.RTWCC {
	 $BackupClass		 "Simulink.RTWCC"
	 $ObjectID
9
	 Version		 "1.11.1"
	 Array {
	 Type		 "Cell"
	 Dimension		 6
	 Cell		 "IncludeHyperlinkInReport"
	 Cell		 "GenerateTraceInfo"
	 Cell		 "GenerateTraceReport"
	 Cell		 "GenerateTraceReportSl"
	 Cell		 "GenerateTraceReportSf"
	 Cell		 "GenerateTraceReportEml"
	 PropName		 "DisabledProps"
	 }
	 SystemTargetFile	 "grt.tlc"
	 GenCodeOnly		 off
	 MakeCommand		 "make_rtw"
	 GenerateMakefile	 on
	 TemplateMakefile	 "grt_default_tmf"
	 GenerateReport	 off
	 SaveLog		 off
	 RTWVerbose		 on
	 RetainRTWFile		 off
	 ProfileTLC		 off
	 TLCDebug		 off
	 TLCCoverage		 off
	 TLCAssert		 off
	 ProcessScriptMode	 "Default"
	 ConfigurationMode	 "Optimized"
	 ConfigAtBuild		 off
	 RTWUseLocalCustomCode	 off
	 RTWUseSimCustomCode	 off
	 IncludeHyperlinkInReport off
	 LaunchReport		 off
	 TargetLang		 "C"
	 IncludeBusHierarchyInRTWFileBlockHierarchyMap	off
	 IncludeERTFirstTime	 off
	 GenerateTraceInfo	 off
	 GenerateTraceReport	 off
	 GenerateTraceReportSl	 off
	 GenerateTraceReportSf	 off
	 GenerateTraceReportEml off
	 GenerateCodeInfo	 off
	 GenerateSLWebview	 off
	 GenerateCodeMetricsReport off
	 RTWCompilerOptimization "Off"
	 CheckMdlBeforeBuild	 "Off"
	 CustomRebuildMode	 "OnUpdate"
	 Array {
	 Type		 "Handle"
	 Dimension		 2
	 Simulink.CodeAppCC {
	 $ObjectID		 10
	 Version		 "1.11.1"
	 Array {
		Type			"Cell"
		Dimension		16
		Cell			"IgnoreCustomStorageClasses"
		Cell			"InsertBlockDesc"
		Cell			"SFDataObjDesc"
		Cell			"SimulinkDataObjDesc"
		Cell			"DefineNamingRule"
		Cell			"SignalNamingRule"
		Cell			"ParamNamingRule"
		Cell			"InlinedPrmAccess"
		Cell			"CustomSymbolStr"
		Cell			"CustomSymbolStrGlobalVar"
		Cell			"CustomSymbolStrType"
		Cell			"CustomSymbolStrField"
		Cell			"CustomSymbolStrFcn"
		Cell			"CustomSymbolStrBlkIO"
		Cell			"CustomSymbolStrTmpVar"
		Cell			"CustomSymbolStrMacro"
		PropName		"DisabledProps"
	 }
	 ForceParamTrailComments off
	 GenerateComments	 on
	 IgnoreCustomStorageClasses on
	 IgnoreTestpoints	 off
	 IncHierarchyInIds	 off
	 MaxIdLength	 31
	 PreserveName	 off
	 PreserveNameWithParent off
	 ShowEliminatedStatement off
	 IncAutoGenComments off
	 SimulinkDataObjDesc off
	 SFDataObjDesc	 off
	 MATLABFcnDesc	 off
	 IncDataTypeInIds	 off
	 MangleLength	 1
	 CustomSymbolStrGlobalVar "$R$N$M"
	 CustomSymbolStrType "$N$R$M"
	 CustomSymbolStrField "$N$M"
	 CustomSymbolStrFcn "$R$N$M$F"
	 CustomSymbolStrFcnArg "rt$I$N$M"
	 CustomSymbolStrBlkIO "rtb_$N$M"
	 CustomSymbolStrTmpVar "$N$M"
	 CustomSymbolStrMacro "$R$N$M"
	 DefineNamingRule	 "None"
	 ParamNamingRule	 "None"
	 SignalNamingRule	 "None"
	 InsertBlockDesc	 off
	 InsertPolySpaceComments off
	 SimulinkBlockComments on
	 MATLABSourceComments off
	 EnableCustomComments off
	 InlinedPrmAccess	 "Literals"
	 ReqsInCode	 off
	 UseSimReservedNames off
	 }
	 Simulink.GRTTargetCC {
	 $BackupClass	 "Simulink.TargetCC"
	 $ObjectID		 11
	 Version		 "1.11.1"
	 Array {
		Type			"Cell"
		Dimension		15
		Cell			"IncludeMdlTerminateFcn"
		Cell			"CombineOutputUpdateFcns"
		Cell			"SuppressErrorStatus"
		Cell			"ERTCustomFileBanners"
		Cell			"GenerateSampleERTMain"
		Cell			"GenerateTestInterfaces"
		Cell			"ModelStepFunctionPrototypeControlCompliant"
		Cell			"CPPClassGenCompliant"
		Cell			"MultiInstanceERTCode"
		Cell			"PurelyIntegerCode"
		Cell			"PortableWordSizes"
		Cell			"SupportComplex"
		Cell			"SupportAbsoluteTime"
		Cell			"SupportContinuousTime"
		Cell			"SupportNonInlinedSFcns"
		PropName		"DisabledProps"
	 }
	 TargetFcnLib	 "ansi_tfl_table_tmw.mat"
	 TargetLibSuffix	 ""
	 TargetPreCompLibLocation ""
	 TargetFunctionLibrary "ANSI_C"
	 UtilityFuncGeneration "Auto"
	 ERTMultiwordTypeDef "System defined"
	 CodeExecutionProfiling off
	 ERTMultiwordLength 256
	 MultiwordLength	 2048
	 GenerateFullHeader on
	 GenerateSampleERTMain off
	 GenerateTestInterfaces off
	 IsPILTarget	 off
	 ModelReferenceCompliant on
	 ParMdlRefBuildCompliant on
	 CompOptLevelCompliant on
	 ConcurrentExecutionCompliant on
	 IncludeMdlTerminateFcn on
	 GeneratePreprocessorConditionals "Disable all"
	 CombineOutputUpdateFcns off
	 CombineSignalStateStructs	off
	 SuppressErrorStatus off
	 ERTFirstTimeCompliant off
	 IncludeFileDelimiter "Auto"
	 ERTCustomFileBanners off
	 SupportAbsoluteTime on
	 LogVarNameModifier "rt_"
	 MatFileLogging	 on
	 MultiInstanceERTCode off
	 SupportNonFinite	 on
	 SupportComplex	 on
	 PurelyIntegerCode	 off
	 SupportContinuousTime on
	 SupportNonInlinedSFcns on
	 SupportVariableSizeSignals off
	 EnableShiftOperators on
	 ParenthesesLevel	 "Nominal"
	 PortableWordSizes	 off
	 ModelStepFunctionPrototypeControlCompliant off
	 CPPClassGenCompliant off
	 AutosarCompliant	 off
	 UseMalloc		 off
	 ExtMode		 off
	 ExtModeStaticAlloc off
	 ExtModeTesting	 off
	 ExtModeStaticAllocSize 1000000
	 ExtModeTransport	 0
	 ExtModeMexFile	 "ext_comm"
	 ExtModeIntrfLevel	 "Level1"
	 RTWCAPISignals	 off
	 RTWCAPIParams	 off
	 RTWCAPIStates	 off
	 RTWCAPIRootIO	 off
	 GenerateASAP2	 off
	 }
	 PropName		 "Components"
	 }
	}
	hdlcoderui.hdlcc {
	 $ObjectID		 12
	 Version		 "1.11.1"
	 Description		 "HDL Coder custom configuration component"
	 Name			 "HDL Coder"
	}
	PropName		"Components"
 }
 Name		 "Configuration"
 CurrentDlgPage	 "Solver"
 ConfigPrmDlgPosition [ 520, 225, 1400, 855 ] 
 }
 PropName		 "ConfigurationSets"
 }
 Simulink.ConfigSet {
 $PropName		 "ActiveConfigurationSet"
 $ObjectID		 1
 }
 BlockDefaults {
 ForegroundColor	 "black"
 BackgroundColor	 "white"
 DropShadow		 off
 NamePlacement	 "normal"
 FontName		 "Helvetica"
 FontSize		 10
 FontWeight		 "normal"
 FontAngle		 "normal"
 ShowName		 on
 BlockRotation	 0
 BlockMirror		 off
 }
 AnnotationDefaults {
 HorizontalAlignment	 "center"
 VerticalAlignment	 "middle"
 ForegroundColor	 "black"
 BackgroundColor	 "white"
 DropShadow		 off
 FontName		 "Helvetica"
 FontSize		 10
 FontWeight		 "normal"
 FontAngle		 "normal"
 UseDisplayTextAsClickCallback off
 }
 LineDefaults {
 FontName		 "Helvetica"
 FontSize		 9
 FontWeight		 "normal"
 FontAngle		 "normal"
 }
 BlockParameterDefaults {
 Block {
 BlockType		 Inport
 Port		 "1"
 OutputFunctionCall off
 OutMin		 "[]"
 OutMax		 "[]"
 OutDataTypeStr	 "Inherit: auto"
 LockScale		 off
 BusOutputAsStruct	 off
 PortDimensions	 "-1"
 VarSizeSig	 "Inherit"
 SampleTime	 "-1"
 SignalType	 "auto"
 SamplingMode	 "auto"
 LatchByDelayingOutsideSignal off
 LatchInputForFeedbackSignals off
 Interpolate	 on
 }
 Block {
 BlockType		 Mux
 Inputs		 "4"
 DisplayOption	 "none"
UseBusObject	 off
 BusObject		 "BusObject"
 NonVirtualBus	 off
 }
 Block {
 BlockType		 Outport
 Port		 "1"
 OutMin		 "[]"
 OutMax		 "[]"
 OutDataTypeStr	 "Inherit: auto"
 LockScale		 off
 BusOutputAsStruct	 off
 PortDimensions	 "-1"
 VarSizeSig	 "Inherit"
 SampleTime	 "-1"
 SignalType	 "auto"
 SamplingMode	 "auto"
 SourceOfInitialOutputValue "Dialog"
 OutputWhenDisabled "held"
 InitialOutput	 "[]"
 }
 Block {
 BlockType		 Scope
 ModelBased	 off
 TickLabels	 "OneTimeTick"
 ZoomMode		 "on"
 Grid		 "on"
 TimeRange		 "auto"
 YMin		 "-5"
 YMax		 "5"
 SaveToWorkspace	 off
 SaveName		 "ScopeData"
 LimitDataPoints	 on
 MaxDataPoints	 "5000"
 Decimation	 "1"
 SampleInput	 off
 SampleTime	 "-1"
 }
 Block {
 BlockType		 Step
 Time		 "1"
 Before		 "0"
 After		 "1"
 SampleTime	 "-1"
 VectorParams1D	 on
 ZeroCross		 on
 }
 Block {
 BlockType		 SubSystem
 ShowPortLabels	 "FromPortIcon"
 Permissions	 "ReadWrite"
 PermitHierarchicalResolution "All"
 TreatAsAtomicUnit	 off
 CheckFcnCallInpInsideContextMsg off
 SystemSampleTime	 "-1"
 RTWFcnNameOpts	 "Auto"
 RTWFileNameOpts	 "Auto"
 RTWMemSecFuncInitTerm "Inherit from model"
 RTWMemSecFuncExecute "Inherit from model"
 RTWMemSecDataConstants "Inherit from model"
 RTWMemSecDataInternal "Inherit from model"
 RTWMemSecDataParameters "Inherit from model"
 SimViewingDevice	 off
 DataTypeOverride	 "UseLocalSettings"
 DataTypeOverrideAppliesTo	"AllNumericTypes"
 MinMaxOverflowLogging "UseLocalSettings"
 SFBlockType	 "NONE"
 Variant		 off
 GeneratePreprocessorConditionals off
 }
 Block {
 BlockType		 Sum
 IconShape		 "rectangular"
 Inputs		 "++"
 CollapseMode	 "All dimensions"
 CollapseDim	 "1"
 InputSameDT	 on
 AccumDataTypeStr	 "Inherit: Inherit via internal rule"
 OutMin		 "[]"
 OutMax		 "[]"
 OutDataTypeStr	 "Inherit: Same as first input"
 LockScale		 off
 RndMeth		 "Floor"
 SaturateOnIntegerOverflow	on
 SampleTime	 "-1"
 }
 Block {
 BlockType		 TransferFcn
 Numerator		 "[1]"
 Denominator	 "[1 2 1]"
 AbsoluteTolerance	 "auto"
 ContinuousStateAttributes	"''"
 Realization	 "auto"
 }
 }
 System {
 Name		 "identSYS"
 Location		 [750, 86, 1306, 479]
 Open		 on
 ModelBrowserVisibility off
 ModelBrowserWidth	 200
 ScreenColor		 "white"
 PaperOrientation	 "landscape"
 PaperPositionMode	 "auto"
 PaperType		 "A4"
 PaperUnits		 "centimeters"
 TiledPaperMargins	 [0.500000, 0.500000, 0.500000, 0.500000]
 TiledPageScale	 1
 ShowPageBoundaries	 off
 ZoomFactor		 "100"
 ReportName		 "simulink-default.rpt"
 SIDHighWatermark	 "66"
 Block {
 BlockType		 SubSystem
 Name		 "1a ordem"
 SID		 "1"
 Ports		 [1, 1]
 Position		 [235, 71, 295, 99]
 BackgroundColor	 "orange"
 MinAlgLoopOccurrences off
 PropExecContextOutsideSubsystem off
 RTWSystemCode	 "Auto"
 FunctionWithSeparateData off
 Opaque		 off
 RequestExecContextInheritance off
 MaskHideContents	 off
 MaskDescription	 "Digite sua senha de acesso"
 MaskPromptString	 "Senha:"
 MaskStyleString	 "edit"
 MaskTunableValueString "on"
 MaskEnableString	 "on"
 MaskVisibilityString "on"
 MaskToolTipString	 "on"
 MaskInitialization "a=10;\nb=5;\nNUM = a;\nDEN = [1 b];\nseed2=20012;\npower2=0.00001;\nT = 0.01;"
 MaskIconFrame	 on
 MaskIconOpaque	 on
 MaskIconRotate	 "none"
 MaskPortRotate	 "default"
 MaskIconUnits	 "autoscale"
 MaskValueString	 "0"
 System {
	Name			"1a ordem"
	Location		[196, 413, 471, 536]
	Open			off
	ModelBrowserVisibility	off
	ModelBrowserWidth	200
	ScreenColor		"white"
	PaperOrientation	"landscape"
	PaperPositionMode	"auto"
	PaperType		"A4"
	PaperUnits		"centimeters"
	TiledPaperMargins	[0.500000, 0.500000, 0.500000, 0.500000]
	TiledPageScale		1
	ShowPageBoundaries	off
	ZoomFactor		"100"
	Block {
	 BlockType		 Inport
	 Name			 "In1"
	 SID			 "2"
	 Position		 [25, 38, 55, 52]
	 IconDisplay		 "Port number"
	}
	Block {
	 BlockType		 Reference
	 Name			 "Band-Limited\nWhite Noise"
	 SID			 "3"
	 Ports			 [0, 1]
	 Position		 [85, 70, 115, 100]
	 NamePlacement		 "alternate"
	 ShowName		 off
	 LibraryVersion	 "1.256"
	 SourceBlock		 "simulink/Sources/Band-Limited\nWhite Noise"
	 SourceType		 "Band-Limited White Noise."
	 Cov			 "[power2]"
	 Ts			 "T"
	 seed			 "[seed2]"
	 VectorParams1D	 on
	}
	Block {
	 BlockType		 Sum
	 Name			 "Sum"
	 SID			 "4"
	 Ports			 [2, 1]
	 Position		 [175, 35, 195, 55]
	 ShowName		 off
	 IconShape		 "round"
	 Inputs		 "|++"
	 InputSameDT		 off
	 OutDataTypeStr	 "Inherit: Inherit via internal rule"
	 SaturateOnIntegerOverflow off
	}
	Block {
	 BlockType		 TransferFcn
	 Name			 "Transfer Fcn"
	 SID			 "5"
	 Position		 [90, 27, 150, 63]
	 ShowName		 off
	 Numerator		 "NUM"
	 Denominator		 "DEN"
	}
	Block {
	 BlockType		 Outport
	 Name			 "Out1"
	 SID			 "6"
	 Position		 [220, 38, 250, 52]
	 IconDisplay		 "Port number"
	}
	Line {
	 SrcBlock		 "Transfer Fcn"
	 SrcPort		 1
	 DstBlock		 "Sum"
	 DstPort		 1
	}
	Line {
	 SrcBlock		 "Band-Limited\nWhite Noise"
	 SrcPort		 1
	 Points		 [65, 0]
	 DstBlock		 "Sum"
	 DstPort		 2
	}
	Line {
	 SrcBlock		 "In1"
	 SrcPort		 1
	 DstBlock		 "Transfer Fcn"
	 DstPort		 1
	}
	Line {
	 SrcBlock		 "Sum"
	 SrcPort		 1
	 DstBlock		 "Out1"
	 DstPort		 1
	}
 }
 }
 Block {
 BlockType		 SubSystem
 Name		 "2a ordem"
 SID		 "19"
 Ports		 [1, 1]
 Position		 [255, 181, 325, 209]
 BackgroundColor	 "orange"
 MinAlgLoopOccurrences off
 PropExecContextOutsideSubsystem off
 RTWSystemCode	 "Auto"
 FunctionWithSeparateData off
 Opaque		 off
 RequestExecContextInheritance off
 MaskHideContents	 off
 MaskDescription	 "Digite sua senha de acesso"
 MaskPromptString	 "Senha"
 MaskStyleString	 "edit"
 MaskTunableValueString "on"
 MaskEnableString	 "on"
 MaskVisibilityString "on"
 MaskToolTipString	 "on"
 MaskInitialization "wn=10;\nksi=0.6;\nNUM2 = 230;\nDEN2 = [1 2*ksi*wn wn^2];\nseed2=20012;\npower2=0.00001;"
 "\nT = 0.01;\n"
 MaskIconFrame	 on
 MaskIconOpaque	 on
 MaskIconRotate	 "none"
 MaskPortRotate	 "default"
 MaskIconUnits	 "autoscale"
 MaskValueString	 "0"
 System {
	Name			"2a ordem"
	Location		[506, 502, 781, 625]
	Open			off
	ModelBrowserVisibility	off
	ModelBrowserWidth	200
	ScreenColor		"white"
	PaperOrientation	"landscape"
	PaperPositionMode	"auto"
	PaperType		"A4"
	PaperUnits		"centimeters"
	TiledPaperMargins	[0.500000, 0.500000, 0.500000, 0.500000]
	TiledPageScale		1
	ShowPageBoundaries	off
	ZoomFactor		"100"
	Block {
BlockType		 Inport
	 Name			 "In1"
	 SID			 "20"
	 Position		 [25, 38, 55, 52]
	 IconDisplay		 "Port number"
	}
	Block {
	 BlockType		 Reference
	 Name			 "Band-Limited\nWhite Noise"
	 SID			 "21"
	 Ports			 [0, 1]
	 Position		 [85, 70, 115, 100]
	 NamePlacement		 "alternate"
	 ShowName		 off
	 LibraryVersion	 "1.256"
	 SourceBlock		 "simulink/Sources/Band-Limited\nWhite Noise"
	 SourceType		 "Band-Limited White Noise."
	 Cov			 "[power2]"
	 Ts			 "T"
	 seed			 "[seed2]"
	 VectorParams1D	 on
	}
	Block {
	 BlockType		 Sum
	 Name			 "Sum"
	 SID			 "22"
	 Ports			 [2, 1]
	 Position		 [175, 35, 195, 55]
	 ShowName		 off
	 IconShape		 "round"
	 Inputs		 "|++"
	 InputSameDT		 off
	 OutDataTypeStr	 "Inherit: Inherit via internal rule"
	 SaturateOnIntegerOverflow off
	}
	Block {
	 BlockType		 TransferFcn
	 Name			 "Transfer Fcn"
	 SID			 "23"
	 Position		 [90, 27, 150, 63]
	 ShowName		 off
	 Numerator		 "NUM2"
	 Denominator		 "DEN2"
	}
	Block {
	 BlockType		 Outport
	 Name			 "Out1"
	 SID			 "24"
	 Position		 [220, 38, 250, 52]
	 IconDisplay		 "Port number"
	}
	Line {
	 SrcBlock		 "Sum"
	 SrcPort		 1
	 DstBlock		 "Out1"
	 DstPort		 1
	}
	Line {
	 SrcBlock		 "In1"
	 SrcPort		 1
	 DstBlock		 "Transfer Fcn"
	 DstPort		 1
	}
	Line {
	 SrcBlock		 "Band-Limited\nWhite Noise"
	 SrcPort		 1
	 Points		 [65, 0]
	 DstBlock		 "Sum"
	 DstPort		 2
	}
	Line {
	 SrcBlock		 "Transfer Fcn"
	 SrcPort		 1
	 DstBlock		 "Sum"
	 DstPort		 1
	}
 }
 }
 Block {
 BlockType		 SubSystem
 Name		 "3a ordem"
 SID		 "59"
 Ports		 [1, 1]
 Position		 [255, 291, 325, 319]
 BackgroundColor	 "orange"
 MinAlgLoopOccurrences off
 PropExecContextOutsideSubsystem off
 RTWSystemCode	 "Auto"
 FunctionWithSeparateData off
 Opaque		 off
 RequestExecContextInheritance off
 MaskHideContents	 off
 MaskDescription	 "Digite sua senha de acesso"
 MaskPromptString	 "Senha"
 MaskStyleString	 "edit"
 MaskTunableValueString "on"
 MaskEnableString	 "on"
 MaskVisibilityString "on"
 MaskToolTipString	 "on"
 MaskInitialization "NUM3 = 50000;\nDEN3 = conv(conv([1 2+20i],[1 2-20i]),[1 5]);\nseed2=20012;\npower2=0.00"
 "00001;"
 MaskIconFrame	 on
 MaskIconOpaque	 on
 MaskIconRotate	 "none"
 MaskPortRotate	 "default"
 MaskIconUnits	 "autoscale"
 MaskValueString	 "0"
 System {
	Name			"3a ordem"
	Location		[506, 502, 781, 625]
	Open			off
	ModelBrowserVisibility	off
	ModelBrowserWidth	200
	ScreenColor		"white"
	PaperOrientation	"landscape"
	PaperPositionMode	"auto"
	PaperType		"usletter"
	PaperUnits		"inches"
	TiledPaperMargins	[0.500000, 0.500000, 0.500000, 0.500000]
	TiledPageScale		1
	ShowPageBoundaries	off
	ZoomFactor		"100"
	Block {
	 BlockType		 Inport
	 Name			 "In1"
	 SID			 "60"
	 Position		 [25, 38, 55, 52]
	 IconDisplay		 "Port number"
	}
	Block {
	 BlockType		 Reference
	 Name			 "Band-Limited\nWhite Noise"
	 SID			 "61"
	 Ports			 [0, 1]
	 Position		 [85, 70, 115, 100]
	 NamePlacement		 "alternate"
	 ShowName		 off
	 LibraryVersion	 "1.256"
	 SourceBlock		 "simulink/Sources/Band-Limited\nWhite Noise"
	 SourceType		 "Band-Limited White Noise."
	 Cov			 "[power2]"
	 Ts			 "0.01"
	 seed			 "[seed2]"
	 VectorParams1D	 on
	}
	Block {
	 BlockType		 Sum
	 Name			 "Sum"
	 SID			 "62"
	 Ports			 [2, 1]
	 Position		 [175, 35, 195, 55]
	 ShowName		 off
	 IconShape		 "round"
	 Inputs		 "|++"
	 InputSameDT		 off
	 OutDataTypeStr	 "Inherit: Inherit via internal rule"
	 SaturateOnIntegerOverflow off
	}
	Block {
	 BlockType		 TransferFcn
	 Name			 "Transfer Fcn"
	 SID			 "63"
	 Position		 [90, 27, 150, 63]
	 ShowName		 off
	 Numerator		 "NUM3"
	 Denominator		 "DEN3"
	}
	Block {
	 BlockType		 Outport
	 Name			 "Out1"
	 SID			 "64"
	 Position		 [220, 38, 250, 52]
	 IconDisplay		 "Port number"
	}
	Line {
	 SrcBlock		 "Band-Limited\nWhite Noise"
	 SrcPort		 1
	 Points		 [65, 0]
	 DstBlock		 "Sum"
	 DstPort		 2
	}
	Line {
	 SrcBlock		 "Sum"
	 SrcPort		 1
	 DstBlock		 "Out1"
	 DstPort		 1
	}
	Line {
	 SrcBlock		 "In1"
	 SrcPort		 1
	 DstBlock		 "Transfer Fcn"
	 DstPort		 1
	}
	Line {
	 SrcBlock		 "Transfer Fcn"
	 SrcPort		 1
	 DstBlock		 "Sum"
	 DstPort		 1
	}
 }
 }
 Block {
 BlockType		 Mux
 Name		 "Mux"
 SID		 "32"
 Ports		 [2, 1]
 Position		 [365, 56, 370, 94]
 ShowName		 off
 Inputs		 "2"
 DisplayOption	 "bar"
 }
 Block {
 BlockType		 Mux
 Name		 "Mux1"
 SID		 "56"
 Ports		 [2, 1]
 Position		 [370, 276, 375, 314]
 ShowName		 off
 Inputs		 "2"
 DisplayOption	 "bar"
 }
 Block {
 BlockType		 Mux
 Name		 "Mux2"
 SID		 "34"
 Ports		 [2, 1]
 Position		 [370, 166, 375, 204]
 ShowName		 off
 Inputs		 "2"
 DisplayOption	 "bar"
 }
 Block {
 BlockType		 Scope
 Name		 "Scope"
 SID		 "36"
 Ports		 [1]
 Position		 [415, 59, 445, 91]
 NamePlacement	 "alternate"
 Floating		 off
 Location		 [172, 433, 496, 672]
 Open		 on
 NumInputPorts	 "1"
 List {
	ListType		AxesTitles
	axes1			"%<SignalLabel>"
 }
 List {
	ListType		ScopeGraphics
	FigureColor		"[0.5 0.5 0.5]"
	AxesColor		"[0 0 0]"
	AxesTickColor		"[1 1 1]"
	LineColors		"[1 1 0;1 0 1;0 1 1;1 0 0;0 1 0;0 0 1]"
	LineStyles		"-|-|-|-|-|-"
	LineWidths		"[0.5 0.5 0.5 0.5 0.5 0.5]"
	MarkerStyles		"none|none|none|none|none|none"
 }
 TimeRange		 "5"
 YMin		 "-0.5"
 YMax		 "2.5"
 DataFormat	 "StructureWithTime"
 LimitDataPoints	 off
 SampleTime	 "0"
 }
 Block {
 BlockType		 Scope
 Name		 "Scope1"
 SID		 "57"
 Ports		 [1]
 Position		 [405, 279, 435, 311]
 NamePlacement	 "alternate"
 Floating		 off
 Location		 [175, 736, 499, 975]
 Open		 on
 NumInputPorts	 "1"
 List {
	ListType		AxesTitles
	axes1			"%<SignalLabel>"
 }
 List {
	ListType		ScopeGraphics
	FigureColor		"[0.5 0.5 0.5]"
	AxesColor		"[0 0 0]"
	AxesTickColor		"[1 1 1]"
	LineColors		"[1 1 0;1 0 1;0 1 1;1 0 0;0 1 0;0 0 1]"
	LineStyles		"-|-|-|-|-|-"
	LineWidths		"[0.5 0.5 0.5 0.5 0.5 0.5]"
	MarkerStyles		"none|none|none|none|none|none"
 }
 TimeRange		 "5"
 YMax		 "30"
 SaveName		 "ScopeData1"
 DataFormat	 "StructureWithTime"
 SampleTime	 "0"
 }
 Block {
 BlockType		 Scope
 Name		 "Scope2"
 SID		 "38"
 Ports		 [1]
 Position		 [405, 169, 435, 201]
 NamePlacement	 "alternate"
 Floating		 off
 Location		 [172, 129, 496, 368]
 Open		 on
 NumInputPorts	 "1"
 List {
	ListType		AxesTitles
	axes1			"%<SignalLabel>"
 }
 List {
	ListType		ScopeGraphics
	FigureColor		"[0.5 0.5 0.5]"
	AxesColor		"[0 0 0]"
	AxesTickColor		"[1 1 1]"
	LineColors		"[1 1 0;1 0 1;0 1 1;1 0 0;0 1 0;0 0 1]"
	LineStyles		"-|-|-|-|-|-"
	LineWidths		"[0.5 0.5 0.5 0.5 0.5 0.5]"
	MarkerStyles		"none|none|none|none|none|none"
 }
 TimeRange		 "5"
 YMin		 "-0.5"
 YMax
"3"
 SaveName		 "ScopeData2"
 DataFormat	 "StructureWithTime"
 SampleTime	 "0"
 }
 Block {
 BlockType		 Step
 Name		 "Step1"
 SID		 "49"
 Position		 [55, 70, 85, 100]
 ShowName		 off
 SampleTime	 "0"
 }
 Block {
 BlockType		 Step
 Name		 "Step2"
 SID		 "65"
 Position		 [70, 180, 100, 210]
 ShowName		 off
 SampleTime	 "0"
 }
 Block {
 BlockType		 Step
 Name		 "Step3"
 SID		 "66"
 Position		 [60, 290, 90, 320]
 ShowName		 off
 SampleTime	 "0"
 }
 Line {
 SrcBlock		 "Mux"
 SrcPort		 1
 DstBlock		 "Scope"
 DstPort		 1
 }
 Line {
 SrcBlock		 "Step1"
 SrcPort		 1
 Points		 [115, 0]
 Branch {
	Points			[0, -20]
	DstBlock		"Mux"
	DstPort			1
 }
 Branch {
	Labels			[0, 0]
	DstBlock		"1a ordem"
	DstPort			1
 }
 }
 Line {
 SrcBlock		 "1a ordem"
 SrcPort		 1
 DstBlock		 "Mux"
 DstPort		 2
 }
 Line {
 SrcBlock		 "Mux2"
 SrcPort		 1
 DstBlock		 "Scope2"
 DstPort		 1
 }
 Line {
 SrcBlock		 "2a ordem"
 SrcPort		 1
 DstBlock		 "Mux2"
 DstPort		 2
 }
 Line {
 SrcBlock		 "Step2"
 SrcPort		 1
 Points		 [120, 0]
 Branch {
	Points			[0, -20]
	DstBlock		"Mux2"
	DstPort			1
 }
 Branch {
	DstBlock		"2a ordem"
	DstPort			1
 }
 }
 Line {
 SrcBlock		 "Mux1"
 SrcPort		 1
 DstBlock		 "Scope1"
 DstPort		 1
 }
 Line {
 SrcBlock		 "3a ordem"
 SrcPort		 1
 DstBlock		 "Mux1"
 DstPort		 2
 }
 Line {
 SrcBlock		 "Step3"
 SrcPort		 1
 Points		 [130, 0]
 Branch {
	Points			[0, -20]
	DstBlock		"Mux1"
	DstPort			1
 }
 Branch {
	DstBlock		"3a ordem"
	DstPort			1
 }
 }
 }
}
2 - Identifica��o de Sistemas/Identifica��o - Parte 1.pdf
Engenharia de Controle e Automac¸a˜o - PUCRS
Identificac¸a˜o de Sistemas
1 Definic¸a˜o do Problema
O primeiro passo na maioria dos projetos de sistemas de controle e´ a aquisic¸a˜o
do modelo da planta (processo) ser controlada.
Este processo se chama “Identificac¸a˜o de Sistemas” e sera´ abordado no
presente laborato´rio. O objetivo e´ encontrar os coeficientes θi, i = 1, 2, 3...,
de uma func¸a˜o de transfereˆncia discreta a partir dos dados de entrada e sa´ıda
da planta. Por exemplo, suponha que seja necessa´rio identificar uma planta
de segunda ordem, enta˜o devemos encontrar θi para i = 1, 2, 3, 4 e 5:
G(z) =
Y (z)
U(z)
=
θ3z
2 + θ4z + θ5
z2 − θ1z − θ2
Depois podemos encontrar o equivalente cont´ınuo do sistema acima e
projetar um controlador usando os me´todos cla´ssicos aprendidos na cadeira
de projetos de sistemas de controle.
2 Mı´nimos Quadrados
Multiplicando a equac¸a˜o (1) pelos seus denominadores e lembrando que z−1
representa um atraso de transporte, obtemos a seguinte expressa˜o:
y(k)− θ1y(k − 1)− θ2y(k − 2) = θ3u(k) + θ4u(k − 1) + θ5u(k − 2)
Resolvendo para a sa´ıda do sistema:
y(k) = θ1y(k − 1) + θ2y(k − 2) + θ3u(k) + θ4u(k − 1) + θ5u(k − 2)
Que pode ser descrita na seguinte forma matricial:
y(k) = [y(k − 1) y(k − 2) u(k) u(k − 1) u(k − 2)]


θ1
θ2
θ1
θ4
θ5


(1)
Prof. Aure´lio Salton To´picos Especiais II - ECA
Engenharia de Controle e Automac¸a˜o - PUCRS
Pore´m, se possu´ımos uma se´rie de N medic¸o˜es ao inve´s de apenas uma,
a equac¸a˜o deve ser representada na seguinte forma matricial:


y(2)
y(3)
y(4)
...
y(N)


=


y(1) y(0) u(2) u(1) u(0)
y(2) y(1) u(3) u(2) u(1)
y(3) y(2) u(4) u(3) u(2)
...
...
...
...
...
y(N − 1) y(N − 2) u(N) u(N − 1) u(N − 2)




θ1
θ2
θ1
θ4
θ5


(2)
Ou seja,
y = Ψθ
Para encontrar os valores desejados basta isolar θ na equac¸a˜o:
θ = (ΨTΨ)−1ΨTy
sendo que o termo •T representa a transposta.
3 Tarefas
Baixe os arquivos referentes ao laborato´rio. Sa˜o treˆs arquivos contendo cada
um uma func¸a˜o de transfereˆncia.
• Atrave´s dos dados de entrada e sa´ıda dos processos, estime um modelo
para cada um dos sistemas.
• Encontre um sistema cont´ınuo equivalente e compare com a sa´ıda real.
• Simule cada um dos sistemas dentro do blocoMatlab Function (na bibli-
oteca User-Defined Functions no Simulink). Compare a sua simulac¸a˜o
com a sa´ıda real do sistema.
• Apresente o trabalho para o professor ate´ a data estipulada em aula.
Boa sorte.
Refereˆncias
[1] Aguirre, L.A. Introduc¸a˜o A` Identificac¸a˜o de Sistemas, 2a Edic¸a˜o. Edi-
tora UFMG, 2007.
[2] Ljung, L. System Identification: Theory for the User (2nd Edition)
Wiley Encyclopedia of Electrical and Electronics Engineering, 1987.
Prof. Aure´lio Salton To´picos Especiais II - ECA
2 - Identifica��o de Sistemas/Identifica��o - Parte 2.pdf
Engenharia de Controle e Automac¸a˜o - PUCRS
Controle
1 Definic¸a˜o do Problema
Uma vez que possu´ımos o modelo dos sistemas que queremos controlar, po-
demos fazer um controle mais elaborado como, por exemplo, LGR, alocac¸a˜o
de po´los, controle por modelo de refereˆncia, etc. Este trabalho se resume em
projetar um controlador para os sistemas que foram estimados no laborato´rio
passado. Para tanto utilize a func¸a˜o rltool no Matlab.
2 Tarefas
• Projete um controlador para o sistema de 1a ordem tal que ele estabilize
em menos de 0.1 segundos e sua entrada na˜o ultrapasse a marca de 4
unidades; (3.0 pontos)
• Projete um controlador para o sistema de 2a ordem tal que ele estabilize
sem sobre sinal em menos de um segundo;(4.0 pontos)
• Projete um controlador para o sistema de 3a ordem tal que ele apresente
erro zero em regime sem sobre-sinal;(4.0 pontos)
• Apresente o trabalho para o professor ate´ a data estipulada em aula.
Boa sorte.
Refereˆncias
[1] Engenharia de Sistemas de Controle - 5a Edic¸a˜o Norman S. Nise, Editora
LTC (Grupo GEN), 2009.
Prof. Aure´lio Salton To´picos Especiais II - ECA
2 - Identifica��o de Sistemas/SimulinkIdentificacaoSistemas.mdl
Model {
 Name			 "IdentificacaoSistemas_Parte2"
 Version		 8.3
 MdlSubVersion		 0
 SavedCharacterEncoding "windows-1252"
 GraphicalInterface {
 NumRootInports	 0
 NumRootOutports	 0
 ParameterArgumentNames ""
 ComputedModelVersion "1.38"
 NumModelReferences	 0
 NumTestPointedSignals 0
 }
 SaveDefaultBlockParams on
 ScopeRefreshTime	 0.035000
 OverrideScopeRefreshTime on
 DisableAllScopes	 off
 DataTypeOverride	 "UseLocalSettings"
 DataTypeOverrideAppliesTo "AllNumericTypes"
 MinMaxOverflowLogging	 "UseLocalSettings"
 MinMaxOverflowArchiveMode "Overwrite"
 FPTRunName		 "Run 1"
 MaxMDLFileLineLength	 120
 Object {
 $PropName		 "BdWindowsInfo"
 $ObjectID		 1
 $ClassName		 "Simulink.BDWindowsInfo"
 Object {
 $PropName		 "WindowsInfo"
 $ObjectID		 2
 $ClassName	 "Simulink.WindowInfo"
 IsActive		 [1]
 Location		 [-8.0, -8.0, 1936.0, 1056.0]
 Object {
	$PropName		"ModelBrowserInfo"
	$ObjectID		3
	$ClassName		"Simulink.ModelBrowserInfo"
	Visible			[0]
	DockPosition		"Left"
	Width			[50]
	Height			[50]
	Filter			[8]
 }
 Object {
	$PropName		"ExplorerBarInfo"
	$ObjectID		4
	$ClassName		"Simulink.ExplorerBarInfo"
Visible			[1]
 }
 Object {
	$PropName		"EditorsInfo"
	$ObjectID		5
	$ClassName		"Simulink.EditorInfo"
	IsActive		[1]
	ViewObjType		"SimulinkTopLevel"
	LoadSaveID		"0"
	Extents			[1886.0, 882.0]
	ZoomFactor		[0.8]
	Offset			[-1026.3666655460988, 41.038689582120355]
 }
 }
 }
 Created		 "Sat Apr 16 12:16:33 2011"
 Creator		 "Aurelio Salton"
 UpdateHistory		 "UpdateHistoryNever"
 ModifiedByFormat	 "%<Auto>"
 LastModifiedBy	 "Thiago"
 ModifiedDateFormat	 "%<Auto>"
 LastModifiedDate	 "Thu Apr 09 16:09:23 2015"
 RTWModifiedTimeStamp	 350496550
 ModelVersionFormat	 "1.%<AutoIncrement:38>"
 ConfigurationManager	 "none"
 SampleTimeColors	 off
 SampleTimeAnnotations	 off
 LibraryLinkDisplay	 "none"
 WideLines		 off
 ShowLineDimensions	 off
 ShowPortDataTypes	 off
 ShowDesignRanges	 off
 ShowLoopsOnError	 on
 IgnoreBidirectionalLines off
 ShowStorageClass	 off
 ShowTestPointIcons	 on
 ShowSignalResolutionIcons on
 ShowViewerIcons	 on
 SortedOrder		 off
 ExecutionContextIcon	 off
 ShowLinearizationAnnotations on
 BlockNameDataTip	 off
 BlockParametersDataTip off
 BlockDescriptionStringDataTip	off
 ToolBar		 on
 StatusBar		 on
 BrowserShowLibraryLinks off
 BrowserLookUnderMasks	 off
 SimulationMode	 "normal"
 PauseTimes		 "5"
 NumberOfSteps		 1
 SnapshotBufferSize	 10
 SnapshotInterval	 10
 NumberOfLastSnapshots	 0
 LinearizationMsg	 "none"
 Profile		 off
 ParamWorkspaceSource	 "MATLABWorkspace"
 AccelSystemTargetFile	 "accel.tlc"
 AccelTemplateMakefile	 "accel_default_tmf"
 AccelMakeCommand	 "make_rtw"
 TryForcingSFcnDF	 off
 Object {
 $PropName		 "DataLoggingOverride"
 $ObjectID		 6
 $ClassName		 "Simulink.SimulationData.ModelLoggingInfo"
 model_		 "IdentificacaoSistemas_Parte2"
 Array {
 Type		 "Cell"
 Dimension		 1
 Cell		 "IdentificacaoSistemas_Parte2"
 PropName		 "logAsSpecifiedByModels_"
 }
 Array {
 Type		 "Cell"
 Dimension		 1
 Cell		 ""
 PropName		 "logAsSpecifiedByModelsSSIDs_"
 }
 }
 RecordCoverage	 off
 CovPath		 "/"
 CovSaveName		 "covdata"
 CovMetricSettings	 "dw"
 CovNameIncrementing	 off
 CovHtmlReporting	 on
 CovForceBlockReductionOff on
 CovEnableCumulative	 on
 covSaveCumulativeToWorkspaceVar on
 CovSaveSingleToWorkspaceVar on
 CovCumulativeVarName	 "covCumulativeData"
 CovCumulativeReport	 off
 CovReportOnPause	 on
 CovModelRefEnable	 "Off"
 CovExternalEMLEnable	 off
 CovSFcnEnable		 off
 CovBoundaryAbsTol	 0.000010
 CovBoundaryRelTol	 0.010000
 ExtModeBatchMode	 off
 ExtModeEnableFloating	 on
 ExtModeTrigType	 "manual"
 ExtModeTrigMode	 "normal"
 ExtModeTrigPort	 "1"
 ExtModeTrigElement	 "any"
 ExtModeTrigDuration	 1000
 ExtModeTrigDurationFloating "auto"
 ExtModeTrigHoldOff	 0
 ExtModeTrigDelay	 0
 ExtModeTrigDirection	 "rising"
 ExtModeTrigLevel	 0
 ExtModeArchiveMode	 "off"
 ExtModeAutoIncOneShot	 off
 ExtModeIncDirWhenArm	 off
 ExtModeAddSuffixToVar	 off
 ExtModeWriteAllDataToWs off
 ExtModeArmWhenConnect	 on
 ExtModeSkipDownloadWhenConnect off
 ExtModeLogAll		 on
 ExtModeAutoUpdateStatusClock on
 BufferReuse		 on
 ShowModelReferenceBlockVersion off
 ShowModelReferenceBlockIO off
 Array {
 Type		 "Handle"
 Dimension		 1
 Simulink.ConfigSet {
 $ObjectID		 7
 Version		 "1.14.2"
 Array {
	Type			"Handle"
	Dimension		9
	Simulink.SolverCC {
	 $ObjectID		 8
	 Version		 "1.14.2"
	 StartTime		 "0.0"
	 StopTime		 "5"
	 AbsTol		 "auto"
	 FixedStep		 "auto"
	 InitialStep		 "auto"
	 MaxNumMinSteps	 "-1"
	 MaxOrder		 5
	 ZcThreshold		 "auto"
	 ConsecutiveZCsStepRelTol "10*128*eps"
	 MaxConsecutiveZCs	 "1000"
	 ExtrapolationOrder	 4
	 NumberNewtonIterations 1
	 MaxStep		 "auto"
	 MinStep		 "auto"
	 MaxConsecutiveMinStep	 "1"
	 RelTol		 "1e-3"
	 SolverMode		 "Auto"
	 EnableConcurrentExecution off
	 ConcurrentTasks	 off
	 Solver		 "ode45"
	 SolverName		 "ode45"
	 SolverJacobianMethodControl "auto"
	 ShapePreserveControl	 "DisableAll"
	 ZeroCrossControl	 "UseLocalSettings"
	 ZeroCrossAlgorithm	 "Nonadaptive"
	 AlgebraicLoopSolver	 "TrustRegion"
	 SolverResetMethod	 "Fast"
	 PositivePriorityOrder	 off
	 AutoInsertRateTranBlk	 off
	 SampleTimeConstraint	 "Unconstrained"
	 InsertRTBMode		 "Whenever possible"
	}
	Simulink.DataIOCC {
	 $ObjectID		 9
	 Version		 "1.14.2"
	 Decimation		 "1"
	 ExternalInput		 "[t, u]"
	 FinalStateName	 "xFinal"
	 InitialState		 "xInitial"
	 LimitDataPoints	 on
	 MaxDataPoints		 "1000"
	 LoadExternalInput	 off
	 LoadInitialState	 off
	 SaveFinalState	 off
	 SaveCompleteFinalSimState off
	 SaveFormat		 "Array"
	 SignalLoggingSaveFormat "ModelDataLogs"
	 SaveOutput		 on
	 SaveState		 off
	 SignalLogging		 on
	 DSMLogging		 on
	 InspectSignalLogs	 off
	 VisualizeSimOutput	 on
	 SaveTime		 on
	 ReturnWorkspaceOutputs off
	 StateSaveName		 "xout"
	 TimeSaveName		 "tout"
	 OutputSaveName	 "yout"
	 SignalLoggingName	 "logsout"
	 DSMLoggingName	 "dsmout"
	 OutputOption		 "RefineOutputTimes"
	 OutputTimes		 "[]"
	 ReturnWorkspaceOutputsName "out"
	 Refine		 "1"
	}
	Simulink.OptimizationCC {
	 $ObjectID		 10
	 Version		 "1.14.2"
	 Array {
	 Type		 "Cell"
	 Dimension		 4
	 Cell		 "ZeroExternalMemoryAtStartup"
	 Cell		 "ZeroInternalMemoryAtStartup"
	 Cell		 "NoFixptDivByZeroProtection"
	 Cell		 "OptimizeModelRefInitCode"
	 PropName		 "DisabledProps"
	 }
	 BlockReduction	 on
	 BooleanDataType	 on
	 ConditionallyExecuteInputs on
	 InlineParams		 off
	 UseIntDivNetSlope	 off
	 UseFloatMulNetSlope	 off
	 DefaultUnderspecifiedDataType	"double"
	 UseSpecifiedMinMax	 off
	 InlineInvariantSignals off
	 OptimizeBlockIOStorage on
	 BufferReuse		 on
	 EnhancedBackFolding	 off
	 CachingGlobalReferences off
	 GlobalBufferReuse	 on
	 StrengthReduction	 off
	 ExpressionFolding	 on
	 BooleansAsBitfields	 off
	 BitfieldContainerType	 "uint_T"
	 EnableMemcpy		 on
	 MemcpyThreshold	 64
	 PassReuseOutputArgsAs	 "Structure reference"
	 ExpressionDepthLimit	 2147483647
	 FoldNonRolledExpr	 on
	 LocalBlockOutputs	 on
	 RollThreshold		 5
	 SystemCodeInlineAuto	 off
	 StateBitsets		 off
	 DataBitsets		 off
	 ActiveStateOutputEnumStorageType "Native Integer"
	 UseTempVars		 off
	 ZeroExternalMemoryAtStartup on
	 ZeroInternalMemoryAtStartup on
	 InitFltsAndDblsToZero	 on
	 NoFixptDivByZeroProtection off
	 EfficientFloat2IntCast off
	 EfficientMapNaN2IntZero on
	 OptimizeModelRefInitCode off
	 LifeSpan		 "inf"
	 MaxStackSize		 "Inherit from target"
	 BufferReusableBoundary on
	 SimCompilerOptimization "Off"
	 AccelVerboseBuild	 off
	 ParallelExecutionInRapidAccelerator on
	}
	Simulink.DebuggingCC {
	 $ObjectID		 11
	 Version		 "1.14.2"
	 RTPrefix		 "error"
	 ConsistencyChecking	 "none"
	 ArrayBoundsChecking	 "none"
	 SignalInfNanChecking	 "none"
	 SignalRangeChecking	 "none"
	 ReadBeforeWriteMsg	 "UseLocalSettings"
	 WriteAfterWriteMsg	 "UseLocalSettings"
	 WriteAfterReadMsg	 "UseLocalSettings"
	 AlgebraicLoopMsg	 "warning"
	 ArtificialAlgebraicLoopMsg "warning"
	 SaveWithDisabledLinksMsg "warning"
	 SaveWithParameterizedLinksMsg	"warning"
	 CheckSSInitialOutputMsg on
	 UnderspecifiedInitializationDetection	"Classic"
	 MergeDetectMultiDrivingBlocksExec "none"
	 CheckExecutionContextPreStartOutputMsg off
	 CheckExecutionContextRuntimeOutputMsg	off
	 SignalResolutionControl "UseLocalSettings"
	 BlockPriorityViolationMsg "warning"
	 MinStepSizeMsg
"warning"
	 TimeAdjustmentMsg	 "none"
	 MaxConsecutiveZCsMsg	 "error"
	 MaskedZcDiagnostic	 "warning"
	 IgnoredZcDiagnostic	 "warning"
	 SolverPrmCheckMsg	 "warning"
	 InheritedTsInSrcMsg	 "warning"
	 DiscreteInheritContinuousMsg "warning"
	 MultiTaskDSMMsg	 "error"
	 MultiTaskCondExecSysMsg "error"
	 MultiTaskRateTransMsg	 "error"
	 SingleTaskRateTransMsg "none"
	 TasksWithSamePriorityMsg "warning"
	 SigSpecEnsureSampleTimeMsg "warning"
	 CheckMatrixSingularityMsg "none"
	 IntegerOverflowMsg	 "warning"
	 Int32ToFloatConvMsg	 "warning"
	 ParameterDowncastMsg	 "error"
	 ParameterOverflowMsg	 "error"
	 ParameterUnderflowMsg	 "none"
	 ParameterPrecisionLossMsg "warning"
	 ParameterTunabilityLossMsg "warning"
	 FixptConstUnderflowMsg "none"
	 FixptConstOverflowMsg	 "none"
	 FixptConstPrecisionLossMsg "none"
	 UnderSpecifiedDataTypeMsg "none"
	 UnnecessaryDatatypeConvMsg "none"
	 VectorMatrixConversionMsg "none"
	 InvalidFcnCallConnMsg	 "error"
	 FcnCallInpInsideContextMsg "UseLocalSettings"
	 SignalLabelMismatchMsg "none"
	 UnconnectedInputMsg	 "warning"
	 UnconnectedOutputMsg	 "warning"
	 UnconnectedLineMsg	 "warning"
	 SFcnCompatibilityMsg	 "none"
	 FrameProcessingCompatibilityMsg "warning"
	 UniqueDataStoreMsg	 "none"
	 BusObjectLabelMismatch "warning"
	 RootOutportRequireBusObject "warning"
	 AssertControl		 "UseLocalSettings"
	 EnableOverflowDetection off
	 ModelReferenceIOMsg	 "none"
	 ModelReferenceMultiInstanceNormalModeStructChecksumCheck "error"
	 ModelReferenceVersionMismatchMessage "none"
	 ModelReferenceIOMismatchMessage "none"
	 ModelReferenceCSMismatchMessage "none"
	 UnknownTsInhSupMsg	 "warning"
	 ModelReferenceDataLoggingMessage "warning"
	 ModelReferenceSymbolNameMessage "warning"
	 ModelReferenceExtraNoncontSigs "error"
	 StateNameClashWarn	 "warning"
	 SimStateInterfaceChecksumMismatchMsg "warning"
	 SimStateOlderReleaseMsg "error"
	 InitInArrayFormatMsg	 "warning"
	 StrictBusMsg		 "Warning"
	 BusNameAdapt		 "WarnAndRepair"
	 NonBusSignalsTreatedAsBus "none"
	 LoggingUnavailableSignals "error"
	 BlockIODiagnostic	 "none"
	 SFUnusedDataAndEventsDiag "warning"
	 SFUnexpectedBacktrackingDiag "warning"
	 SFInvalidInputDataAccessInChartInitDiag "warning"
	 SFNoUnconditionalDefaultTransitionDiag "warning"
	 SFTransitionOutsideNaturalParentDiag "warning"
	 SFUnconditionalTransitionShadowingDiag "warning"
	 SFUndirectedBroadcastEventsDiag "warning"
	 SFTransitionActionBeforeConditionDiag	"warning"
	}
	Simulink.HardwareCC {
	 $ObjectID		 12
	 Version		 "1.14.2"
	 ProdBitPerChar	 8
	 ProdBitPerShort	 16
	 ProdBitPerInt		 32
	 ProdBitPerLong	 32
	 ProdBitPerLongLong	 64
	 ProdBitPerFloat	 32
	 ProdBitPerDouble	 64
	 ProdBitPerPointer	 32
	 ProdLargestAtomicInteger "Char"
	 ProdLargestAtomicFloat "None"
	 ProdIntDivRoundTo	 "Undefined"
	 ProdEndianess		 "Unspecified"
	 ProdWordSize		 32
	 ProdShiftRightIntArith on
	 ProdLongLongMode	 off
	 ProdHWDeviceType	 "32-bit Generic"
	 TargetBitPerChar	 8
	 TargetBitPerShort	 16
	 TargetBitPerInt	 32
	 TargetBitPerLong	 32
	 TargetBitPerLongLong	 64
	 TargetBitPerFloat	 32
	 TargetBitPerDouble	 64
	 TargetBitPerPointer	 32
	 TargetLargestAtomicInteger "Char"
	 TargetLargestAtomicFloat "None"
	 TargetShiftRightIntArith on
	 TargetLongLongMode	 off
	 TargetIntDivRoundTo	 "Undefined"
	 TargetEndianess	 "Unspecified"
	 TargetWordSize	 32
	 TargetTypeEmulationWarnSuppressLevel 0
	 TargetPreprocMaxBitsSint 32
	 TargetPreprocMaxBitsUint 32
	 TargetHWDeviceType	 "Specified"
	 TargetUnknown		 off
	 ProdEqTarget		 on
	}
	Simulink.ModelReferenceCC {
	 $ObjectID		 13
	 Version		 "1.14.2"
	 UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange"
	 CheckModelReferenceTargetMessage "error"
	 EnableParallelModelReferenceBuilds off
	 ParallelModelReferenceErrorOnInvalidPool on
	 ParallelModelReferenceMATLABWorkerInit "None"
	 ModelReferenceNumInstancesAllowed "Multi"
	 PropagateVarSize	 "Infer from blocks in model"
	 ModelReferencePassRootInputsByReference on
	 ModelReferenceMinAlgLoopOccurrences off
	 PropagateSignalLabelsOutOfModel off
	 SupportModelReferenceSimTargetCustomCode off
	}
	Simulink.SFSimCC {
	 $ObjectID		 14
	 Version		 "1.14.2"
	 SFSimEnableDebug	 on
	 SFSimOverflowDetection on
	 SFSimEcho		 on
	 SimBlas		 on
	 SimCtrlC		 on
	 SimExtrinsic		 on
	 SimIntegrity		 on
	 SimUseLocalCustomCode	 off
	 SimParseCustomCode	 on
	 SimBuildMode		 "sf_incremental_build"
	 SimGenImportedTypeDefs off
	}
	Simulink.RTWCC {
	 $BackupClass		 "Simulink.RTWCC"
	 $ObjectID		 15
	 Version		 "1.14.2"
	 Array {
	 Type		 "Cell"
	 Dimension		 6
	 Cell		 "IncludeHyperlinkInReport"
	 Cell		 "GenerateTraceInfo"
	 Cell		 "GenerateTraceReport"
	 Cell		 "GenerateTraceReportSl"
	 Cell		 "GenerateTraceReportSf"
	 Cell		 "GenerateTraceReportEml"
	 PropName		 "DisabledProps"
	 }
	 SystemTargetFile	 "grt.tlc"
	 TLCOptions		 ""
	 GenCodeOnly		 off
	 MakeCommand		 "make_rtw"
	 GenerateMakefile	 on
	 PackageGeneratedCodeAndArtifacts off
	 PackageName		 ""
	 TemplateMakefile	 "grt_default_tmf"
	 PostCodeGenCommand	 ""
	 Description		 ""
	 GenerateReport	 off
	 SaveLog		 off
	 RTWVerbose		 on
	 RetainRTWFile		 off
	 ProfileTLC		 off
	 TLCDebug		 off
	 TLCCoverage		 off
	 TLCAssert		 off
	 ProcessScriptMode	 "Default"
	 ConfigurationMode	 "Optimized"
	 ProcessScript		 ""
	 ConfigurationScript	 ""
	 ConfigAtBuild		 off
	 RTWUseLocalCustomCode	 off
	 RTWUseSimCustomCode	 off
	 CustomSourceCode	 ""
	 CustomHeaderCode	 ""
	 CustomInclude		 ""
	 CustomSource		 ""
	 CustomLibrary		 ""
	 CustomInitializer	 ""
	 CustomTerminator	 ""
	 Toolchain		 "Automatically locate an installed toolchain"
	 BuildConfiguration	 "Faster Builds"
	 IncludeHyperlinkInReport off
	 LaunchReport		 off
	 PortableWordSizes	 off
	 CreateSILPILBlock	 "None"
	 CodeExecutionProfiling off
	 CodeExecutionProfileVariable "executionProfile"
	 CodeProfilingSaveOptions "SummaryOnly"
	 CodeProfilingInstrumentation off
	 SILDebugging		 off
	 TargetLang		 "C"
	 IncludeBusHierarchyInRTWFileBlockHierarchyMap	off
	 IncludeERTFirstTime	 off
	 GenerateTraceInfo	 off
	 GenerateTraceReport	 off
	 GenerateTraceReportSl	 off
	 GenerateTraceReportSf	 off
	 GenerateTraceReportEml off
	 GenerateCodeInfo	 off
	 GenerateWebview	 off
	 GenerateCodeMetricsReport off
	 GenerateCodeReplacementReport	off
	 RTWCompilerOptimization "Off"
	 RTWCustomCompilerOptimizations ""
	 CheckMdlBeforeBuild	 "Off"
	 CustomRebuildMode	 "OnUpdate"
	 DataInitializer	 ""
	 SharedConstantsCachingThreshold 1024
	 Array {
	 Type		 "Handle"
	 Dimension		 2
	 Simulink.CodeAppCC {
	 $ObjectID		 16
	 Version		 "1.14.2"
	 Array {
		Type			"Cell"
		Dimension		16
		Cell			"IgnoreCustomStorageClasses"
		Cell			"InsertBlockDesc"
		Cell			"SFDataObjDesc"
		Cell			"SimulinkDataObjDesc"
		Cell			"DefineNamingRule"
		Cell			"SignalNamingRule"
		Cell			"ParamNamingRule"
		Cell			"InlinedPrmAccess"
		Cell			"CustomSymbolStr"
		Cell			"CustomSymbolStrGlobalVar"
		Cell			"CustomSymbolStrType"
		Cell			"CustomSymbolStrField"
		Cell			"CustomSymbolStrFcn"
		Cell			"CustomSymbolStrBlkIO"
		Cell			"CustomSymbolStrTmpVar"
		Cell			"CustomSymbolStrMacro"
		PropName		"DisabledProps"
	 }
	 ForceParamTrailComments off
	 GenerateComments	 on
	 CommentStyle	 "Auto"
	 IgnoreCustomStorageClasses on
	 IgnoreTestpoints	 off
	 IncHierarchyInIds	 off
	 MaxIdLength	 31
	 PreserveName
off
	 PreserveNameWithParent off
	 ShowEliminatedStatement off
	 OperatorAnnotations off
	 IncAutoGenComments off
	 SimulinkDataObjDesc off
	 SFDataObjDesc	 off
	 MATLABFcnDesc	 off
	 IncDataTypeInIds	 off
	 MangleLength	 1
	 CustomSymbolStrGlobalVar "$R$N$M"
	 CustomSymbolStrType "$N$R$M_T"
	 CustomSymbolStrField "$N$M"
	 CustomSymbolStrFcn "$R$N$M$F"
	 CustomSymbolStrFcnArg "rt$I$N$M"
	 CustomSymbolStrBlkIO "rtb_$N$M"
	 CustomSymbolStrTmpVar "$N$M"
	 CustomSymbolStrMacro "$R$N$M"
	 CustomSymbolStrUtil "$N$C"
	 DefineNamingRule	 "None"
	 ParamNamingRule	 "None"
	 SignalNamingRule	 "None"
	 InsertBlockDesc	 off
	 InsertPolySpaceComments off
	 SimulinkBlockComments on
	 MATLABSourceComments off
	 EnableCustomComments off
	 InternalIdentifier "Shortened"
	 InlinedPrmAccess	 "Literals"
	 ReqsInCode	 off
	 UseSimReservedNames off
	 }
	 Simulink.GRTTargetCC {
	 $BackupClass	 "Simulink.TargetCC"
	 $ObjectID		 17
	 Version		 "1.14.2"
	 Array {
		Type			"Cell"
		Dimension		15
		Cell			"IncludeMdlTerminateFcn"
		Cell			"CombineOutputUpdateFcns"
		Cell			"SuppressErrorStatus"
		Cell			"ERTCustomFileBanners"
		Cell			"GenerateSampleERTMain"
		Cell			"GenerateTestInterfaces"
		Cell			"ModelStepFunctionPrototypeControlCompliant"
		Cell			"CPPClassGenCompliant"
		Cell			"SupportNonInlinedSFcns"
		Cell			"PurelyIntegerCode"
		Cell			"PortableWordSizes"
		Cell			"SupportComplex"
		Cell			"SupportAbsoluteTime"
		Cell			"SupportContinuousTime"
		Cell			"GenerateAllocFcn"
		PropName		"DisabledProps"
	 }
	 TargetFcnLib	 "ansi_tfl_table_tmw.mat"
	 TargetLibSuffix	 ""
	 TargetPreCompLibLocation ""
	 GenFloatMathFcnCalls "NOT IN USE"
	 TargetLangStandard "C89/C90 (ANSI)"
	 CodeReplacementLibrary "None"
	 UtilityFuncGeneration "Auto"
	 ERTMultiwordTypeDef "System defined"
	 ERTMultiwordLength 256
	 MultiwordLength	 2048
	 GenerateFullHeader on
	 GenerateSampleERTMain off
	 GenerateTestInterfaces off
	 ModelReferenceCompliant on
	 ParMdlRefBuildCompliant on
	 CompOptLevelCompliant on
	 ConcurrentExecutionCompliant on
	 IncludeMdlTerminateFcn on
	 GeneratePreprocessorConditionals "Disable all"
	 CombineOutputUpdateFcns off
	 CombineSignalStateStructs	off
	 SuppressErrorStatus off
	 ERTFirstTimeCompliant off
	 IncludeFileDelimiter "Auto"
	 ERTCustomFileBanners off
	 SupportAbsoluteTime on
	 LogVarNameModifier "rt_"
	 MatFileLogging	 on
	 MultiInstanceERTCode off
	 CodeInterfacePackaging "Nonreusable function"
	 SupportNonFinite	 on
	 SupportComplex	 on
	 PurelyIntegerCode	 off
	 SupportContinuousTime on
	 SupportNonInlinedSFcns on
	 SupportVariableSizeSignals off
	 EnableShiftOperators on
	 ParenthesesLevel	 "Nominal"
	 MATLABClassNameForMDSCustomization "Simulink.SoftwareTarget.GRTCustomization"
	 ModelStepFunctionPrototypeControlCompliant off
	 CPPClassGenCompliant on
	 AutosarCompliant	 off
	 GRTInterface	 on
	 GenerateAllocFcn	 off
	 UseMalloc		 off
	 ExtMode		 off
	 ExtModeStaticAlloc off
	 ExtModeTesting	 off
	 ExtModeStaticAllocSize 1000000
	 ExtModeTransport	 0
	 ExtModeMexFile	 "ext_comm"
	 ExtModeIntrfLevel	 "Level1"
	 RTWCAPISignals	 off
	 RTWCAPIParams	 off
	 RTWCAPIStates	 off
	 RTWCAPIRootIO	 off
	 GenerateASAP2	 off
	 MultiInstanceErrorCode "Error"
	 }
	 PropName		 "Components"
	 }
	}
	hdlcoderui.hdlcc {
	 $ObjectID		 18
	 Version		 "1.14.2"
	 Description		 "HDL Coder custom configuration component"
	 Name			 "HDL Coder"
	 Array {
	 Type		 "Cell"
	 Dimension		 1
	 Cell		 " "
	 PropName		 "HDLConfigFile"
	 }
	 HDLCActiveTab		 "0"
	}
	PropName		"Components"
 }
 Name		 "Configuration"
 CurrentDlgPage	 "Solver"
 ConfigPrmDlgPosition [ 520, 225, 1400, 855 ] 
 }
 PropName		 "ConfigurationSets"
 }
 Simulink.ConfigSet {
 $PropName		 "ActiveConfigurationSet"
 $ObjectID		 7
 }
 Object {
 $PropName		 "DataTransfer"
 $ObjectID		 19
 $ClassName		 "Simulink.GlobalDataTransfer"
 DefaultTransitionBetweenSyncTasks "Ensure deterministic transfer (maximum delay)"
 DefaultTransitionBetweenAsyncTasks "Ensure data integrity only"
 DefaultTransitionBetweenContTasks "Ensure deterministic transfer (minimum delay)"
 DefaultExtrapolationMethodBetweenContTasks "None"
 AutoInsertRateTranBlk [0]
 }
 ExplicitPartitioning	 off
 BlockDefaults {
 ForegroundColor	 "black"
 BackgroundColor	 "white"
 DropShadow		 off
 NamePlacement	 "normal"
 FontName		 "Helvetica"
 FontSize		 10
 FontWeight		 "normal"
 FontAngle		 "normal"
 ShowName		 on
 BlockRotation	 0
 BlockMirror		 off
 }
 AnnotationDefaults {
 HorizontalAlignment	 "center"
 VerticalAlignment	 "middle"
 ForegroundColor	 "black"
 BackgroundColor	 "white"
 DropShadow		 off
 FontName		 "Helvetica"
 FontSize		 10
 FontWeight		 "normal"
 FontAngle		 "normal"
 UseDisplayTextAsClickCallback off
 }
 LineDefaults {
 FontName		 "Helvetica"
 FontSize		 9
 FontWeight		 "normal"
 FontAngle		 "normal"
 }
 MaskDefaults {
 SelfModifiable	 "off"
 IconFrame		 "on"
 IconOpaque		 "on"
 RunInitForIconRedraw "off"
 IconRotate		 "none"
 PortRotate		 "default"
 IconUnits		 "autoscale"
 }
 MaskParameterDefaults {
 Evaluate		 "on"
 Tunable		 "on"
 NeverSave		 "off"
 Internal		 "off"
 ReadOnly		 "off"
 Enabled		 "on"
 Visible		 "on"
 ToolTip		 "on"
 }
 BlockParameterDefaults {
 Block {
 BlockType		 Inport
 Port		 "1"
 OutputFunctionCall off
 OutMin		 "[]"
 OutMax		 "[]"
 OutDataTypeStr	 "Inherit: auto"
 LockScale		 off
 BusOutputAsStruct	 off
 PortDimensions	 "-1"
 VarSizeSig	 "Inherit"
 SampleTime	 "-1"
 SignalType	 "auto"
 SamplingMode	 "auto"
 LatchByDelayingOutsideSignal off
 LatchInputForFeedbackSignals off
 Interpolate	 on
 }
 Block {
 BlockType		 Mux
 Inputs		 "4"
 DisplayOption	 "none"
 UseBusObject	 off
 BusObject		 "BusObject"
 NonVirtualBus	 off
 }
 Block {
 BlockType		 Outport
 Port		 "1"
 OutMin		 "[]"
 OutMax		 "[]"
 OutDataTypeStr	 "Inherit: auto"
 LockScale		 off
 BusOutputAsStruct	 off
 PortDimensions	 "-1"
 VarSizeSig	 "Inherit"
 SampleTime	 "-1"
 SignalType	 "auto"
 SamplingMode	 "auto"
 SourceOfInitialOutputValue "Dialog"
 OutputWhenDisabled "held"
 InitialOutput	 "[]"
 }
 Block {
 BlockType		 Scope
 ModelBased	 off
 TickLabels	 "OneTimeTick"
 ZoomMode		 "on"
 Grid		 "on"
 TimeRange		 "auto"
 YMin		 "-5"
 YMax		 "5"
 SaveToWorkspace	 off
SaveName		 "ScopeData"
 DataFormat	 "Array"
 LimitDataPoints	 on
 MaxDataPoints	 "5000"
 Decimation	 "1"
 SampleInput	 off
 SampleTime	 "-1"
 }
 Block {
 BlockType		 Step
 Time		 "1"
 Before		 "0"
 After		 "1"
 SampleTime	 "-1"
 VectorParams1D	 on
 ZeroCross		 on
 }
 Block {
 BlockType		 SubSystem
 ShowPortLabels	 "FromPortIcon"
 Permissions	 "ReadWrite"
 PermitHierarchicalResolution "All"
 TreatAsAtomicUnit	 off
 MinAlgLoopOccurrences off
 PropExecContextOutsideSubsystem off
 CheckFcnCallInpInsideContextMsg off
 SystemSampleTime	 "-1"
 RTWSystemCode	 "Auto"
 RTWFcnNameOpts	 "Auto"
 RTWFileNameOpts	 "Auto"
 FunctionInterfaceSpec "void_void"
 FunctionWithSeparateData off
 RTWMemSecFuncInitTerm "Inherit from model"
 RTWMemSecFuncExecute "Inherit from model"
 RTWMemSecDataConstants "Inherit from model"
 RTWMemSecDataInternal "Inherit from model"
 RTWMemSecDataParameters "Inherit from model"
 SimViewingDevice	 off
 DataTypeOverride	 "UseLocalSettings"
 DataTypeOverrideAppliesTo	"AllNumericTypes"
 MinMaxOverflowLogging "UseLocalSettings"
 Opaque		 off
 MaskHideContents	 off
 SFBlockType	 "NONE"
 Variant		 off
 GeneratePreprocessorConditionals off
 ContentPreviewEnabled off
 }
 Block {
 BlockType		 Sum
 IconShape		 "rectangular"
 Inputs		 "++"
 CollapseMode	 "All dimensions"
 CollapseDim	 "1"
 InputSameDT	 on
 AccumDataTypeStr	 "Inherit: Inherit via internal rule"
 OutMin		 "[]"
 OutMax		 "[]"
 OutDataTypeStr	 "Inherit: Same as first input"
 LockScale		 off
 RndMeth		 "Floor"
 SaturateOnIntegerOverflow	on
 SampleTime	 "-1"
 }
 Block {
 BlockType		 TransferFcn
 Numerator		 "[1]"
 Denominator	 "[1 2 1]"
 AbsoluteTolerance	 "auto"
 ContinuousStateAttributes	"''"
 Realization	 "auto"
 }
 }
 System {
 Name		 "IdentificacaoSistemas_Parte2"
 Location		 [-8, -8, 1928, 1048]
 Open		 on
 ModelBrowserVisibility off
 ModelBrowserWidth	 200
 ScreenColor		 "white"
 PaperOrientation	 "landscape"
 PaperPositionMode	 "auto"
 PaperType		 "A4"
 PaperUnits		 "centimeters"
 TiledPaperMargins	 [0.500000, 0.500000, 0.500000, 0.500000]
 TiledPageScale	 1
 ShowPageBoundaries	 off
 ZoomFactor		 "80"
 ReportName		 "simulink-default.rpt"
 SIDHighWatermark	 "100"
 Block {
 BlockType		 SubSystem
 Name		 "1a ordem"
 SID		 "1"
 Ports		 [1, 1]
 Position		 [270, 161, 330, 189]
 ZOrder		 -1
 BackgroundColor	 "orange"
 RequestExecContextInheritance off
 Object {
	$PropName		"MaskObject"
	$ObjectID		20
	$ClassName		"Simulink.Mask"
	Description		"Digite sua senha de acesso"
	Initialization		"a=10;\nb=5;\nNUM = a;\nDEN = [1 b];\nseed2=20012;\npower2=0.00001;\nT = 0.01;"
	Object {
	 $PropName		 "Parameters"
	 $ObjectID		 21
	 $ClassName		 "Simulink.MaskParameter"
	 Type			 "edit"
	 Name			 ""
	 Prompt		 "Senha:"
	 Value			 "0"
	}
 }
 System {
	Name			"1a ordem"
	Location		[166, 301, 497, 608]
	Open			off
	ModelBrowserVisibility	off
	ModelBrowserWidth	200
	ScreenColor		"white"
	PaperOrientation	"landscape"
	PaperPositionMode	"auto"
	PaperType		"A4"
	PaperUnits		"centimeters"
	TiledPaperMargins	[0.500000, 0.500000, 0.500000, 0.500000]
	TiledPageScale		1
	ShowPageBoundaries	off
	ZoomFactor		"100"
	Block {
	 BlockType		 Inport
	 Name			 "In1"
	 SID			 "2"
	 Position		 [25, 38, 55, 52]
	 ZOrder		 -1
	 IconDisplay		 "Port number"
	}
	Block {
	 BlockType		 Reference
	 Name			 "Band-Limited\nWhite Noise"
	 SID			 "3"
	 Ports			 [0, 1]
	 Position		 [85, 70, 115, 100]
	 ZOrder		 -2
	 NamePlacement		 "alternate"
	 ShowName		 off
	 LibraryVersion	 "1.256"
	 SourceBlock		 "simulink/Sources/Band-Limited\nWhite Noise"
	 SourceType		 "Band-Limited White Noise."
	 Cov			 "[power2]"
	 Ts			 "T"
	 seed			 "[seed2]"
	 VectorParams1D	 on
	}
	Block {
	 BlockType		 Sum
	 Name			 "Sum"
	 SID			 "4"
	 Ports			 [2, 1]
	 Position		 [175, 35, 195, 55]
	 ZOrder		 -3
	 ShowName		 off
	 IconShape		 "round"
	 Inputs		 "|++"
	 InputSameDT		 off
	 OutDataTypeStr	 "Inherit: Inherit via internal rule"
	 SaturateOnIntegerOverflow off
	}
	Block {
	 BlockType		 TransferFcn
	 Name			 "Transfer Fcn"
	 SID			 "5"
	 Position		 [90, 27, 150, 63]
	 ZOrder		 -4
	 ShowName		 off
	 Numerator		 "NUM"
	 Denominator		 "DEN"
	}
	Block {
	 BlockType		 Outport
	 Name			 "Out1"
	 SID			 "6"
	 Position		 [220, 38, 250, 52]
	 ZOrder		 -5
	 IconDisplay		 "Port number"
	}
	Line {
	 ZOrder		 1
	 SrcBlock		 "Transfer Fcn"
	 SrcPort		 1
	 DstBlock		 "Sum"
	 DstPort		 1
	}
	Line {
	 ZOrder		 2
	 SrcBlock		 "Band-Limited\nWhite Noise"
	 SrcPort		 1
	 Points		 [65, 0]
	 DstBlock		 "Sum"
	 DstPort		 2
	}
	Line {
	 ZOrder		 3
	 SrcBlock		 "In1"
	 SrcPort		 1
	 DstBlock		 "Transfer Fcn"
	 DstPort		 1
	}
	Line {
	 ZOrder		 4
	 SrcBlock		 "Sum"
	 SrcPort		 1
	 DstBlock		 "Out1"
	 DstPort		 1
	}
 }
 }
 Block {
 BlockType		 SubSystem
 Name		 "1a ordem1"
 SID		 "67"
 Ports		 [1, 1]
 Position		 [270, 236, 330, 264]
 ZOrder		 1
 BackgroundColor	 "orange"
 RequestExecContextInheritance off
 Object {
	$PropName		"MaskObject"
	$ObjectID		22
	$ClassName		"Simulink.Mask"
	Description		"Digite sua senha de acesso"
	Initialization		"a=10;\nb=5;\nNUM = a;\nDEN = [1 b];\nseed2=20012;\npower2=0.00001;\nT = 0.01;"
	Object {
	 $PropName		 "Parameters"
	 $ObjectID		 23
	 $ClassName		 "Simulink.MaskParameter"
	 Type			 "edit"
	 Name			 ""
	 Prompt		 "Senha:"
	 Value			 "0"
	}
 }
 System {
	Name			"1a ordem1"
	Location		[166, 301, 497, 608]
	Open			off
	ModelBrowserVisibility	off
	ModelBrowserWidth	200
	ScreenColor		"white"
	PaperOrientation	"landscape"
	PaperPositionMode	"auto"
	PaperType		"usletter"
	PaperUnits		"inches"
	TiledPaperMargins	[0.500000, 0.500000, 0.500000, 0.500000]
	TiledPageScale		1
	ShowPageBoundaries	off
	ZoomFactor		"100"
	Block {
	 BlockType		 Inport
	 Name			 "In1"
	 SID			 "68"
	 Position		 [25, 38, 55, 52]
	 ZOrder		 -1
	 IconDisplay		 "Port number"
	}
	Block {
	 BlockType		 Reference
	 Name			 "Band-Limited\nWhite Noise"
	 SID			 "69"
	 Ports			 [0, 1]
	 Position		 [85, 70, 115, 100]
	 ZOrder		 -2
	 NamePlacement		 "alternate"
	 ShowName		 off
	 SourceBlock		 "simulink/Sources/Band-Limited\nWhite Noise"
	 SourceType		 "Band-Limited White Noise."
	 Cov			 "[power2]"
	 Ts			 "T"
	 seed			 "[seed2]"
	 VectorParams1D	 on
	}
	Block {
	 BlockType		 Sum
	 Name			 "Sum"
	 SID			 "70"
	 Ports			 [2, 1]
	 Position		 [175, 35, 195, 55]
	 ZOrder		 -3
	 ShowName		 off
	 IconShape		 "round"
	 Inputs		 "|++"
	 InputSameDT		 off
	 OutDataTypeStr	 "Inherit: Inherit via internal rule"
	 SaturateOnIntegerOverflow off
	}
	Block {
	 BlockType		 TransferFcn
	 Name			 "Transfer Fcn"
	 SID			 "71"
	 Position		 [90, 27, 150, 63]
	 ZOrder		 -4
	 ShowName		 off
	 Numerator		 "NUM"
	 Denominator		 "DEN"
	}
	Block {
	 BlockType		 Outport

Teste o Premium para desbloquear

Aproveite todos os benefícios por 3 dias sem pagar! 😉
Já tem cadastro?

Continue navegando