Buscar

Aula 5 - Codificador, Decodificador, Multiplexador e Demultiplexador

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes
Você viu 3, do total de 80 páginas

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes
Você viu 6, do total de 80 páginas

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes
Você viu 9, do total de 80 páginas

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Prévia do material em texto

Codificador, Decodificador, 
Multiplexador e Demultiplexador
Leonardo B. Zoccal
(lbzoccal@unifei.edu.br)
ELTD01 – Eletrônica Digital I
UNIVERSIDADE FEDERAL DE ITAJUBÁ
mailto:(lbzoccal@unifei.edu.br)
2
Codificadores e Decodificadores
CODIFICADOR - Codificador é um circuito que codifica M linhas 
de entrada em N linhas de saída, em que somente uma de suas 
entradas é ativa por vez 
Ex: Circuito inicial de uma calculadora, que transforma uma entrada
decimal em uma saída binária, através do sistema de chaves de um
teclado, para que o circuito interno processe e faça a operação.
3
Codificadores e Decodificadores
CODIFICADOR - Codificador é um circuito que codifica M linhas 
de entrada em N linhas de saída, em que somente uma de suas 
entradas é ativa por vez 
4
Codificadores e Decodificadores
Codificador de Prioridade
Eventualmente pode ser que mais de uma tecla seja acionada 
por vez. Neste caso, deve-se ter uma prioridade, isto é, somente 
uma deve ter efeito na saída, sendo que as demais ficam 
“inibidas”. 
Tabela de Operação 74147
5
Codificadores e Decodificadores
Codificador de Prioridade
74147
6
Codificadores e Decodificadores
Codificador de Prioridade
74147
7
Codificadores e Decodificadores
Codificador de teclado
...
C
Vcc
B
A
...
D
...
...
...
97 *# 1 86
Se nenhuma tecla for pressionada, tem-se as linhas A, B, C e D em nível baixo.
8
Codificadores e Decodificadores
Codificador de teclado
Como exemplo de operação, se for pressionada a tecla 6, as linhas B e C, 
passam para nível alto devido aos diodos, e as demais linhas permanecem 
em nível baixo. Da mesma forma, se for pressionada a tecla 9, as linhas A e 
D, passam para nível alto devido aos diodos, e as demais linhas 
permanecem em nível baixo.
...
C
Vcc
B
A
...
D
...
...
...
97 *# 1 86
9
Codificadores e Decodificadores
DECODIFICADOR – Decodificador é um circuito que decodifica N
linhas de entrada em M linhas de saída, em que o código
presente nas entradas corresponde à saída que deve ser ativa.
Somente uma de suas saídas é ativa por vez.
Ex: No mesmo exemplo da calculadora, o decodificador é o circuito
que recebe o resultado da operação na forma binária e o transforma
em saída decimal, na forma compatível para um mostrador digital
apresentar os algarismos.
10
Codificadores e Decodificadores
DECODIFICADOR - Como exemplo clássico de um decodificador, considere o
TTL 7442 que é um decodificador BCD para decimal.
Como exemplo de operação, se for aplicado o código 0101 às entradas,
somente a saída 5 se torna ativa.
11
Codificadores e Decodificadores
Decodificador BCD/7 Segmentos
Um dos métodos mais simples de se apresentar dígitos
numéricos usa uma configuração de 7 segmentos para formar os
caracteres decimais de 0 a 9, e algumas vezes ao caracteres
hexadecimais de A até F.
(a) Configurações dos 7 segmentos e (b) segmentos ativos para cada dígito
12
Codificadores e Decodificadores
Decodificador BCD/7 Segmentos
História: Display Nixie
Uma lâmpada acende por vez para visualização 
de um dígito.
No caso de display com sete LEDs (segmentos), 
embora vários leds acendem por vez, o dígito 
visualizado é um só.
13
Codificadores e Decodificadores
Decodificador BCD/7 Segmentos
Tecnologias de Fabricação de Display de 7 Segmentos
Display a LED (diodo emissor de luz)
Anodo comum 
(nível “0” no catodo)
Catodo comum 
(nível “1” no anodo)
14
Codificadores e Decodificadores
Decodificador BCD/7 Segmentos
Tecnologias de Fabricação de Display de 7 Segmentos
Display LED de Sete Segmentos
15
Codificadores e Decodificadores
Decodificador BCD/7 Segmentos
Interligação de um decodificador para display de 7 segmentos com o display
Embora o circuito de acionamento do display LED possua 7 
saídas, em cada código de entrada, uma informação numérica é 
apresentada por vez (0,1,2,3,4,5,6,7...,E,F). Assim o circuito 
continua sendo um decodificador.
16
Codificadores e Decodificadores
Decodificador BCD/7 Segmentos
(a) Decodificador/driver BCD para 7 segmentos acionando um display de 7 
segmentos a LEDs de anodo comum; (b) padrões de segmentos para todos os 
códigos de entrada possíveis.
17
Codificadores e Decodificadores
Decodificador BCD/7 Segmentos
Exemplo 1:
Considerando que o nível lógico da saída deva ser baixo para acender um
segmento, a tabela de operação de um decodificador BCD para sete segmentos
pode ser:
d fa
VCC
B
e g
Decodificador
BCD/7Segmentos
CD
cb
A
18
Codificadores e Decodificadores
Decodificador BCD/7 Segmentos
Exemplo 1:
Com base na tabela e usando mapas de 
Karnaugh, as expressões de cada saída 
são dadas a seguir:
OBS: Quando as entradas DCBA estiverem nas combinações
1010 (AH), 1011(BH), 1100(CH), 1101(DH), 1110(EH) e 1111(FH)
as saídas do decodificador serão consideradas “-” (opcional).
Poderia ser considerando o nível lógico “1”, o que apagaria o
display nestas condições.
Exemplo, o mapa para o segmento a
(notar que segmento apaga com nível
lógico 1):
DC
BA
00 01 11 10
00 0 1 _ 0
01 1 0 _ 0
11 0 0 _ _
10 0 0 _ _
19
Codificadores e Decodificadores
Decodificador BCD/7 Segmentos
Exemplo 2:
Considerando que o nível lógico da saída deva ser alto para acender um
segmento (Display Catodo Comum), a tabela de operação de um decodificador
BCD para sete segmentos pode ser:
Entradas Segmentos LED
D C B A a b c d e f g
0 0 0 0 1 1 1 1 1 1 0
0 0 0 1 0 1 1 0 0 0 0
0 0 1 0 1 1 0 1 1 0 1
0 0 1 1 1 1 1 1 0 0 1
0 1 0 0 0 1 1 0 0 1 1
0 1 0 1 1 0 1 1 0 1 1
0 1 1 0 1 0 1 1 1 1 1
0 1 1 1 1 1 1 0 0 0 0
1 0 0 0 1 1 1 1 1 1 1
1 0 0 1 1 1 1 1 0 1 1
c g
Decodificador
BCD/7Segmentos
D
d e
A
a fb
C B
20
Codificadores e Decodificadores
Decodificador BCD/7 Segmentos
Exemplo 2: Com base na tabela e usando mapas de 
Karnaugh, as expressões de cada saída 
são dadas a seguir:
Exemplo, o mapa para o
segmento a:
OBS: Quando as entradas DCBA estiverem nas combinações 1010 (AH), 1011(BH),
1100(CH), 1101(DH), 1110(EH) e 1111(FH) as saídas do decodificador serão consideradas
“-” (opcional). Poderia ser considerando o nível lógico “1”, o que apagaria o display
nestas condições.
Entradas Segmentos LED
D C B A a b c d e f g
0 0 0 0 1 1 1 1 1 1 0
0 0 0 1 0 1 1 0 0 0 0
0 0 1 0 1 1 0 1 1 0 1
0 0 1 1 1 1 1 1 0 0 1
0 1 0 0 0 1 1 0 0 1 1
0 1 0 1 1 0 1 1 0 1 1
0 1 1 0 1 0 1 1 1 1 1
0 1 1 1 1 1 1 0 0 0 0
1 0 0 0 1 1 1 1 1 1 1
1 0 0 1 1 1 1 1 0 1 1
DC
BA 
00 01 11 10
00 1 0 _ 1
01 0 1 _ 1
11 1 1 _ _
10 1 1 _ _
a= + BCA + CA + D
21
Codificadores e Decodificadores
Display de Cristal Líquido
(a) Configuração básica; (b) aplicando-se uma tensão entre o segmento e o 
backplane, o segmento é ligado. Uma tensão zero desliga o segmento.
22
Codificadores e Decodificadores
Display de Cristal Líquido
• Características:
- Operam basicamente com sinais CA de baixa
tensão ( 3 a 15 V) e baixa frequência (25 a 60 Hz).
- A tensão CA necessária para ligar um segmento é
aplicada entre o segmento e o “backplane”, que é
comum a todos os segmentos.
- O segmento e o “backplane” formam um capacitor
que consome uma corrente muito baixa.
23
Codificadores e Decodificadores
Display de Cristal Líquido
Método de acionar um segmento de LCD
• Quando CONTROLE estiver em BAIXO, a saída F 
assume o mesmo valor da entrada B, assim o 
segmento está desligado;
• Quando CONTROLE estiver em ALTO, a saída F 
assume o valor invertido da entrada B, assim o 
segmento está ligado.
CTR B F
0 0 0
0 1 1
1 0 1
1 1 0
XOR
24
Codificadores e Decodificadores
Display de Cristal Líquido
Método de acionamento de um LCD de 7 
segmentos
25
Codificadores e Decodificadores
Display de Cristal Líquido
Vantagens dos Dispositivos CMOS em relação aos TTL 
para o acionamento de LCD’s
• Os CMOS necessitam de muito menos potência que os
TTL, e são mais adequados para aplicações onde os
LCD’s são alimentados por baterias;
• O estado BAIXO dos dispositivos TTL não é exatamente
0V e pode ser até 0,4V. Isto produziria uma componente
DC entreo segmento e o “backplane”, que encurtaria a
vida útil de um LCD.
26
Codificadores e Decodificadores
Associação de Decodificadores
Ex: O decodificador TTL 74138 é um decodificador 3X8 (3 
entradas por 8 saídas) , a seguir a sua tabela de operação: 
27
Codificadores e Decodificadores
Associação de Decodificadores
As entradas habilitadoras podem ser usadas para se fazer associação de
decodificadores para a formação de decodificadores maiores. A figura ilustra essas
linhas sendo usadas para se formar um decodificador 4x16.
28
Codificadores e Decodificadores
• Exemplo de uso de decodificador para implementar função 
lógica: Pretende-se fazer um circuito que indique se a soma 
dos 3 bits de entrada é ímpar.
Tabela de verdade 
O circuito correspondente usando um 
decodificador
Codificadores e Decodificadores
EXEMPLOS DE DECODIFICADORES DE ENDEREÇO
29
30
Codificadores e Decodificadores
Decodificadores de endereço
Uso de decodificadores em sistemas digitais que
possuem barramento de endereço (conjunto de linhas
nas quais a informação está representada no conjunto e
não em uma única linha)
31
Codificadores e Decodificadores
Decodificadores de endereço
Decodificadores podem ser utilizados em sistemas onde um
microprocessador (CPU) deve habilitar a operação de um
circuito quando um endereço de interesse, ou faixa de
endereços, for disponibilizado(a) no barramento de endereços.
32
Codificadores e Decodificadores
Exemplo de decodificadores de endereço
• Imagine que temos um barramento de endereços de 20 bits (lembrando que cada 
conjunto de 4 bits forma um número em hexadecimal).
• Neste barramento (linhas A0 a A19, sendo A0 a linha menos significativa) pode ser 
disponibilizado qualquer endereço na faixa de 00000H a FFFFFH.
(lembrar que nossa convenção é representar o bit mais significativo (MSB) 
sempre mais a esquerda.
• É necessário acender, com nível “1”, um LED toda vez que for colocado no 
barramento um endereço na faixa de FF800 - FFFFF [ A19(MSB) até A0 (LSB) ]:
33
Representando o MSB na posição mais a direita
Decodificador deve indicar “um” na faixa FF800 - FFFFF
Codificadores e Decodificadores
Exemplo de decodificadores de endereço
34
As linhas com endereço fixo (A19 – A12) em toda a faixa de interesse devem
ser utilizadas em conjunto no decodificador de endereços
Com auxilio do mapa, observa-se que
na faixa de interesse, as linhas A8-A11
apresentam variação de seu valor
lógico. O mapa auxilia a obter o circuito
decodificador. Neste caso apenas a
linha A11
Decodificador deve atuar, ou representado aqui por nível lógico 1 (foi considerado,
para manter lógica de preenchimento vista anteriormente no tópico de mapas):
Leitura =>A11
Decodificador deve indicar “um” na faixa FF800 - FFFFF
Codificadores e Decodificadores
Exemplo de decodificadores de endereço
35
Decodificador deve indicar “um” na faixa FF800 - FFFFF
Acionamento do LED ou 
circuito com nível lógico 1
Acionamento do LED ou 
circuito com nível lógico 0
Pode-se considerar a situação a ser detectada (acionamento ou ativação do circuito
desejado), com o preenchimento do mapa com nível lógico 1, e caso seja o
acionamento com nível lógico 0, pode-se simplesmente considerar a situação inversa
Codificadores e Decodificadores
Exemplo de decodificadores de endereço
36
Decodificador que aciona 8 Circuitos nas seguintes faixas de
endereço de um barramento de 20 bits (Uso do 74138):
- Faixa de F0000 a F1FFF => aciona circuito 0
- Faixa de F2000 a F3FFF => aciona circuito 1
- Faixa de F4000 a F5FFF => aciona circuito 2
- Faixa de F6000 a F7FFF => aciona circuito 3
- Faixa de F8000 a F9FFF => aciona circuito 4
- Faixa de FA000 a FBFFF => aciona circuito 5
- Faixa de FC000 a FDFFF => aciona circuito 6
- Faixa de FE000 a FFFFF => aciona circuito 7
OBS:
Endereço em hexadecimal representado por 5 posições, ou seja, uso de 20 
linhas de endereço em formato binário (cada linha pode assumir 1 ou 0).
Como no exemplo anterior, linha MSB representada pela linha A19 e linha 
LSB representada pela linha A0
Codificadores e Decodificadores
Exemplo de decodificadores de endereço
37
Codificadores e Decodificadores
Exemplo de decodificadores de endereço
38
Tabela verdade e Símbolo lógico informam:
 Entradas de habilitação (Enable), linhas normalmente
denominadas de E, G ou S, do circuito integrado (CI), linhas E1, E2
e E3, com linhas E1 e E2 ativas em nível 0 e a linha E3 ativa em
nível 1. Para ativação todas linhas devem estar em seus
respectivos níveis de ativação.
 Saídas ativas em nível 0;
 Linhas de código de endereçamento (A2, A1, A0), com maior índice
numérico representando o MSB
Codificadores e Decodificadores
Exemplo de decodificadores de endereço
39
A19 A18 A17 A16 A15 A14 A13 A12 A11 A10 A9 A8 A7 A6 A5 A4 A3 A2 A1 A0
1 1 1 1 0 0 0 0 X X X X X X X X X X X X
1 1 1 1 0 0 0 1 X X X X X X X X X X X X
1 1 1 1 0 0 1 0 X X X X X X X X X X X X
1 1 1 1 0 0 1 1 X X X X X X X X X X X X
1 1 1 1 0 1 0 0 X X X X X X X X X X X X
1 1 1 1 0 1 0 1 X X X X X X X X X X X X
1 1 1 1 0 1 1 0 X X X X X X X X X X X X
1 1 1 1 0 1 1 1 X X X X X X X X X X X X
1 1 1 1 1 0 0 0 X X X X X X X X X X X X
1 1 1 1 1 0 0 1 X X X X X X X X X X X X
1 1 1 1 1 0 1 0 X X X X X X X X X X X X
1 1 1 1 1 0 1 1 X X X X X X X X X X X X
Endereços
Entradas de habilitação (Enable):
•E1 e E2 ativas em nível 0
•E3 ativa em nível 1
0
1
2
Linhas de seleção 
da saída ativa
Decodificador (Uso do 74138) 
que aciona 8 Circuitos
Codificadores e Decodificadores
Exemplo de decodificadores de endereço
40
Codificadores e Decodificadores
Exemplo de decodificadores de endereço
Supor a existência de um sistema que possui um barramento de endereço 
com 16 linhas (A15 a A0)
Considerando a existência de circuito que permite o acendimento de um LED 
com nível lógico 1, projete um decodificador que acione o LED
toda vez que for colocado um endereço na faixa de 0000H a 33FFH
41
Codificadores e Decodificadores
Exemplo de decodificadores de endereço
Considerando a existência de circuito que permite o acendimento de um LED com 
nível lógico 1, projete um decodificador que acione o LED
toda vez que for colocado um endereço na faixa de 0000H a 33FFH
A15 A14 A13 A12 A11 A10 A9 A8 A7 A6 A5 A4 A3 A2 A1 A0
0 0 0 0 0 0 0 0 X X X X X X X X
0000H 0 0 0 1 X X X X X X X X
0 0 1 0 X X X X X X X X
0 0 1 1 X X X X X X X X
- - - - X X X X X X X X
0FFFH - - - - X X X X X X X X
0 0 0 0 1 1 1 1 X X X X X X X X
0 0 0 1 0 0 0 0 X X X X X X X X
0 0 0 1 1 1 1 1 X X X X X X X X
0 0 1 0 0 0 0 0 X X X X X X X X
0 0 1 0 1 1 1 1 X X X X X X X X
30 0 0 1 1 0 0 0 0 X X X X X X X X
33 0 0 1 1 0 0 1 1 X X X X X X X X
34 0 0 1 1 0 1 0 0 X X X X X X X X
0 0 1 1 1 1 1 1 X X X X X X X X
0 1 0 0 0 0 0 0 X X X X X X X X
Endereços 
Inválidos
Mapa de endereços
Considerando as 
linhas mais 
significativas e 
analisando a faixa 
de 00H a 33H
42
Codificadores e Decodificadores
Exemplo de decodificadores de endereço
Considerando a existência de circuito que permite o acendimento de um LED com 
nível lógico 1, projete um decodificador que acione o LED
toda vez que for colocado um endereço na faixa de 0000H a 33FFH
A15 A14 A13 A12 A11 A10 A9 A8 A7 A6 A5 A4 A3 A2 A1 A0
0 0 0 0 00 0 0 0 0 0 0 0 0 0 0
0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1
0 0 0 1 0 0 0 1 X X X X X X X X
0 0 1 0 0 0 1 0 X X X X X X X X
0 0 1 1 0 0 1 1 X X X X X X X X
Na faixa de 00 a 33 nota-se que o decodificador precisa ter as linhas A15 e A14 fixas em 
“0” em toda a faixa de endereços desejada.
Assim, o decodificador necessita detectar: 1415 . AA
Outras linhas ainda necessitam ser analisadas:
Observar que a combinação A9 A8 muda de 00 
para 01, somente se todas combinações das 
linhas A7-A0 ocorrerem, ou seja, não importam 
para a decodificação
O X indica que todas as combinações para as 
demais ocorrências de A9A8 foram 
consideradas, ou não importam
A8 e A9 são utilizadas com todas as suas combinações lógicas e portanto não necessitam 
ser utilizadas no decodificador.
43
Codificadores e Decodificadores
Exemplo de decodificadores de endereço
Considerando a existência de circuito que permite o acendimento de um LED com 
nível lógico 1, projete um decodificador que acione o LED
toda vez que for colocado um endereço na faixa de 0000H a 33FFH
A15 A14 A13 A12 A11 A10 A9 A8 A7 A6 A5 A4 A3 A2 A1 A0
0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1
0 0 0 1 0 0 0 1 X X X X X X X X
0 0 1 0 0 0 1 0 X X X X X X X X
0 0 1 1 0 0 1 1 X X X X X X X X
Na faixa de 00 a 33 nota-se que o decodificador precisa ter as linhas A15 e A14 fixas em “0” 
em toda a faixa de endereços desejada.
Verifica-se assim que, em conjunto com o circuito que decodifica A15 e A14, deve ser 
adicionada uma outra lógica que irá detectar a lógica de tratamento das linhas A13, A12, A11 e 
A10 (considerando que as outras não importam pois todas linhas variam seus valores 
lógicos em todas combinações, ou seja, qualquer endereço deve acionar o LED). 
Observar que a combinação A9 A8 muda de 00 
para 01, somente se todas combinações das 
linhas A7-A0 ocorrerem, ou seja, não importam 
para a decodificação
O X indica que todas as combinações para as 
demais ocorrências de A9A8 foram 
consideradas, ou não importam
44
Codificadores e Decodificadores
Exemplo de decodificadores de endereço
Considerando a existência de circuito que permite o acendimento de um LED com 
nível lógico 1, projete um decodificador que acione o LED
toda vez que for colocado um endereço na faixa de 0000H a 33FFH
A15 A14 A13 A12 A11 A10 A9 A8 A7 A6 A5 A4 A3 A2 A1 A0
0 0 0 0 0 0 0 0 X X X X X X X X
0 0 0 1 0 0 0 1 X X X X X X X X
0 0 1 0 0 0 1 0 X X X X X X X X
0 0 1 1 0 0 1 1 X X X X X X X X
Na faixa de 00 a 33 nota-se ainda que existem endereços válidos, por exemplo 01, 04, 14, 24.
Notar que a partir do endereço 30, as linhas A13 e A12 assumem juntas nível lógico 1.
45
Codificadores e Decodificadores
Exemplo de decodificadores de endereço
Considerando a existência de circuito que permite o acendimento de um LED com 
nível lógico 1, projete um decodificador que acione o LED
toda vez que for colocado um endereço na faixa de 0000H a 33FFH
Acima de 34 as linhas A15 e A14 ainda podem assumir nível lógico 0 em condições fora da 
faixa de interesse. O decodificador deve apresentar 0 na sua saída. Assim, percebe-se que 
quando as linhas A13 e A12 assumem ambas nível lógico 1, existe a necessidade de indicar 0 
para combinações das linhas A11 e A10 (endereços 34 a 3F).
A15 A14 A13 A12 A11 A10 A9 A8
Indicação de nível lógico 1
Indicação de nível lógico 0.
Endereços fora da faixa de 
interesse (34 a 3F).
Para obter a lógica que deve ocorrer em conjunto (operador AND) com a lógica anterior, e 
utilizando mapas de 4 variáveis, pode-se considerar as linhas A13, A12, A11 e A10 no mapa.
3
4
F
46
Codificadores e Decodificadores
Exemplo de decodificadores de endereço
Considerando a existência de circuito que permite o acendimento de um LED com 
nível lógico 1, projete um decodificador que acione o LED
toda vez que for colocado um endereço na faixa de 0000H a 33FFH
A15 A14 A13 A12 A11 A10 A9 A8 A7 A6 A5 A4 A3 A2 A1 A0
0 0 0 0 0 0 0 0 X X X X X X X X
0 0 0 1 0 0 0 1 X X X X X X X X
0 0 1 0 0 0 1 0 X X X X X X X X
0 0 1 1 0 0 1 1 X X X X X X X X
Na faixa de 00 a 33 nota-se ainda que existem endereços válidos, por exemplo 01, 04, 14, 24.
Acima de 34 o decodificador deve apresentar 0 na sua saída. Para obter a lógica que deve 
ocorrer em conjunto (operador AND) com a lógica do slide anterior, e utilizando mapas de 4 
variáveis, pode-se considerar as linha A13, A12, A11 e A10 no mapa:
O decodificador necessita em conjunto 
com a lógica anterior detectar:
10111213 .AAAA 
Estes “0”s representam as combinações 
34, 35,....,3E, 3F
47
Codificadores e Decodificadores
Exemplo de decodificadores de endereço
Considerando a existência de circuito que permite o acendimento de um LED com 
nível lógico 1, projete um decodificador que acione o LED
toda vez que for colocado um endereço na faixa de 0000H a 33FFH
A15 A14 A13 A12 A11 A10 A9 A8 A7 A6 A5 A4 A3 A2 A1 A0
0 0 0 0 0 0 0 0 X X X X X X X X
0 0 0 1 0 0 0 1 X X X X X X X X
0 0 1 0 0 0 1 0 X X X X X X X X
0 0 1 1 0 0 1 1 X X X X X X X X
O decodificador final é:
 101112131415 ... AAAAAA 
48
Codificadores e Decodificadores
Exemplo de decodificadores de endereço
Considerando a existência de circuito que permite o acendimento de um LED com 
nível lógico 1, projete um decodificador que acione o LED
toda vez que for colocado um endereço na faixa de 0000H a 33FFH
Simulação no software Quartus confirmando a operação do decodificador:
49
Codificadores e Decodificadores
Exemplo de decodificadores integrados. Uso do 7442
Em alguns casos, pode-se utilizar no lugar de portas lógicas,
decodificadores disponibilizados em circuitos integrados. Um exemplo
pode ser o 7442.
 Considere o exemplo de um sistema que possui um barramento de endereços 
composto por 16 linhas, denominadas de A0 (LSB) até A15 (MSB).
Utilizando estas linhas, projetar um decodificador que acione com nível 
lógico ‘0’ 3 leds quando for colocado no barramento, um endereço dentro 
das seguintes faixas:
 E000H a E3FFH acendimento para o Led 0;
 E400H a E7FFH acendimento para o Led 1;
 E800H a E9FFH acendimento para o Led 2;
Não é necessário colocar o pino do 7442 na ordem que está no CI.
O decodificador a ser implementado deve utilizar o menor número de CIs 7442.
Não é necessário mostrar as ligações de alimentação (VDD e GND) do CI7442. 
50
Codificadores e Decodificadores
Exemplo de decodificadores integrados. Uso do 7442
7442 – Decodificador de década
Não possui entradas de habilitação
51
Codificadores e Decodificadores
Exemplo de decodificadores integrados. Uso do 7442
Leds devem acender com nível lógico ‘0’
E000H a E3FFH acendimento para o Led 0
E400H a E7FFH acendimento para o Led 1
E800H a E9FFH acendimento para o Led 2
Fora da faixa de interesse
Mapa de endereços Lembrar que EH = 11102
Observar que linhas assumem mesmo valor dentro e fora 
da faixa de interesse, será necessário uma linha extra 
para decodificação (A9)
52
Codificadores e Decodificadores
Exemplo de decodificadores integrados. Uso do 7442
Mapa de endereços Linhas de endereços com valor fixo
53
Codificadores e Decodificadores
Exemplo de decodificadores integrados. Uso do 7442
Mapa de endereços Linhas de endereços com valor fixo
Observar que o decodificador 7442 só decodifica códigos 
que representam números decimais de 0 a 9
54
Codificadores e Decodificadores
Exemplo de decodificadores integrados. Uso do 7442
Mapa de endereços Linhas de endereços com valor fixo
Observar que o decodificador 7442 só decodifica códigos 
que representam números decimais de 0 a 9
Assim é necessárioorganizar as linhas de endereço de 
forma que quando colocado o endereço fixo “E”, as linhas 
estejam organizadas nas entradas do 7442 de maneira 
que o código seja entendido como um endereço na faixa 
de 0 a 9
55
Codificadores e Decodificadores
Exemplo de decodificadores integrados. Uso do 7442
Mapa de endereços
Linhas A15 até A12 decodificadas
56
Codificadores e Decodificadores
Exemplo de decodificadores integrados. Uso do 7442
Mapa de endereços Combinações Lógicas de A11 e A10
para acendimento dos Leds
Led0
Led1
Led2
Para o Led2 ainda existe a necessidade de 
utilizar a linha A9 com valor fixo em 0, uma vez 
que as linhas A11 e A10 em “10” não garantem 
o acendimento na faixa correta.
57
Codificadores e Decodificadores
Exemplo de decodificadores integrados. Uso do 7442
58
Multiplexador 
e Demultiplexador
Multiplexador
São circuitos utilizados quando há necessidade de enviar um certo
número de informações, contidos em vários canais, a um só canal.
De maneira esquemática, tem-se:
MUX S
I1
I2
I3
IN
Canais de 
informação 
de entrada
Tem como finalidade, escolher qual das informações
de entrada, ou qual dos canais de informações deve
ser ligado à saída.
Entradas de Seleção
Saída da 
informação 
multiplexada
59
Multiplexador
60
• Um circuito simples que efetua uma multiplexação é
uma chave de 1 pólo e N posições:
I1
I2
I3
IN
S
Entrada de Seleção
Multiplexador
61
MUX 4 X 2
Produto: Número total de entrada de dados = 8
Número de saídas: 2
Número de linhas de seleção:
2N(número de linhas) = 4 => N = 2
Sel0 Sel1 S0 S1
0 0 A0 A1
0 1 A2 A3
1 0 B0 B1
1 1 B2 B3
A0
A1
A2
A3
B0
B1
B2
B3
Sel0 Sel1
S0
S1
Convenção para denominação
Multiplexador
62
MUX 2 X 4
Produto: Número total de entrada de dados = 8
Número de saídas: 4
Convenção para denominação
Canais: reflete as combinações 
das linhas de seleção.
Neste caso, dois conjuntos de 
entradas com 4 bits cada.
Sel0 S0 S1 S2 S3
0 A0 A1 A2 A3 
1 B0 B1 B2 B3
A0
A1
A2
A3
B0
B1
B2
B3
Sel
S0
S1
S2
S3
0
1
(0 ou 1)
Sel(0)
Sel(1)
Multiplexador
63
I0
I1
A ==> Variável de Seleção
S
A S
0 I0
1 I1
Mux 2X1
Multiplexador
64
Mux 4X1
Multiplexador
Associação de Multiplexadores
65
Multiplexadores podem ser associados para formar
multiplexadores maiores, ou com um maior número de
canais. Como exemplo, considere dois MUX 4X1 ligados
como indicado:
Multiplexador
Associação de Multiplexadores
66
Multiplexadores ligados em paralelo, isto é, tendo as mesmas
linhas de seleção, formam um novo multiplexador de dois
canais, sendo cada canal de 4 entradas.
Gerado então um novo multiplexador, chamado de MUX 4X2.
E1 E0
E3 E2
Multiplexador
Associação de Multiplexadores
67
O controle do
multiplexador mais a
direita é feito pelos bits
de seleção mais
significativos.
Linhas + significativas
Arranjo Serial de 
Multiplexadores 
Multiplexador
Aplicações de MUX
68
• Circuitos multiplexadores encontram numerosas
e diversas aplicações em sistemas digitais de 
todos os tipos. Essas aplicações incluem:
– Seleção de Dados
– Roteamento de Dados
– Sequenciamento de Operações
– Conversões Paralelo-Série
– Geração de Formas de Onda
– Geração de Funções Lógicas
Multiplexador
Aplicações de MUX
69
Aplicação (Roteamento de Dados)
• Sistema para mostrar
dois contadores BCD 
de mais de um dígito, 
sendo um contador de 
cada vez.
• Uso de um único
conjunto de:
– Decodificador/driver
– Display e Leds
• SELECIONA=1, 
contador 1 habilitado
Multiplexador
Aplicações de MUX
70
Aplicação (Conversão Paralelo-Série)
• Muitos sistemas
processam dados 
binários de forma 
paralela.
• Entretanto, quando se 
transmitem dados em
distâncias relativamente
longas, a configuração
paralela não é desejável
porque é necessário um 
grande número de linhas
para transmissão. 
Multiplexador
Aplicações de MUX
71
Aplicação (Conversão Paralelo-Série)
• Os dados são apresentados no formato paralelo na
saída do registrador X e colocados nas 8 entradas do 
MUX. 
• Um contador de 3 bits (módulo 8) é usado para gerar os
bits do código de seleção S2 S1 S0 de modo que ele
conte de 000 a 111 à medida que os pulsos de clock
forem aplicados.
• Desse modo, a saída do MUX será X0 durante o 
primeiro período de clock; X1 durante o segundo e assim
por diante.
• A saída Z é uma forma de onda que é a representação
serial do dado paralelo de entrada.
• A conversão gasta um total de 8 ciclos de clock.
Multiplexador
Aplicações de MUX
72
MUX usado para implementar a função lógica da tabela
Multiplexador
Aplicações de MUX
73
MUX usado para implementar a função lógica da tabela. 
Caso sejam escolhidas uma ou duas variáveis para
controle do multiplexador, as entradas ou estão fixas
em 1 ou 0, ou são funções das variáveis não utilizadas
no controle
00 01 11 10
00 1 1 0 1
01 0 1 0 1
11 0 1 0 0
10 1 1 0 0
DC
BA
Entradas S
D C B A a
0 0 0 0 1
0 0 0 1 0
0 0 1 0 1
0 0 1 1 0
0 1 0 0 1
0 1 0 1 1
0 1 1 0 1
0 1 1 1 1
1 0 0 0 1
1 0 0 1 1
1 0 1 0 0
1 0 1 1 0
1 1 0 0 0
1 1 0 1 0
1 1 1 0 0
1 1 1 1 0
00
01
10
110 
1 
D C
A
B
Ex: Controle do multiplexador
pelas entradas DC
Demultiplexador
74
O demultiplexador é o circuito lógico que efetua a função inversa ao 
multiplexador, ou seja, a de enviar informações contidas em um canal 
a vários canais de saída, para um de cada vez.
Demultiplexador de 2 Canais
75
Variável de 
Seleção
Canais de 
Informação
S O0 O1
0 I 0
1 0 I
SIO 1
ISO 0
Demultiplexador de 4 Canais
76
Variáveis Canais de Saída
S0 S1 O0 O1 O2 O3
0 0 I 0 0 0
0 1 0 I 0 0
1 0 0 0 I 0
1 1 0 0 0 I
ISSO 100 
ISSO 101 
ISSO 102 
ISSO 103 
Demultiplexador de 1 para 8 Linhas
77
I é a entrada de dados
Demultiplexador
Ampliação da Capacidade de um Demultiplexador
78
• A partir de circuitos demultiplexadores de baixa
capacidade, pode-se formar outros para um maior
número de canais de saída.
Variáveis Canais de Saída
S0 S1 O0 O1 O2 O3
0 0 I 0 0 0
0 1 0 I 0 0
1 0 0 0 I 0
1 1 0 0 0 I
Demultiplexador
Ampliação da Capacidade de um Demultiplexador
79
Variáveis Canais de Saída
S0 S1 S2 O0 O1 O2 O3 O4 O5 O6 O7
0 0 0 I 0 0 0 0 0 0 0
0 0 1 0 I 0 0 0 0 0 0
0 1 0 0 0 I 0 0 0 0 0
0 1 1 0 0 0 I 0 0 0 0
1 0 0 0 0 0 0 I 0 0 0
1 0 1 0 0 0 0 0 I 0 0
1 1 0 0 0 0 0 0 0 I 0
1 1 1 0 0 0 0 0 0 0 I
Demultiplexador de 8 canais a partir de demultiplexadores de 4 canais
Demultiplexador
Sistema Síncrono de Transmissão de Dados
80

Outros materiais