Buscar

Atributos de VHDL são informações adicionais associadas a sinais, vetores e tipos. a alternativa que contem apenas os atributos de sinais é.

💡 1 Resposta

User badge image

Ed Verified user icon

As opções de atributos que se aplicam apenas a sinais em VHDL são: A) 'event, 'stable, 'quiet B) 'left, 'right, 'low, 'high C) 'active, 'last_event, 'last_value D) 'delayed, 'transport, 'inertial E) 'ascending, 'descending, 'reverse A alternativa correta é a opção A) 'event, 'stable, 'quiet.

1
Dislike0

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

✏️ Responder

SetasNegritoItálicoSublinhadoTachadoCitaçãoCódigoLista numeradaLista com marcadoresSubscritoSobrescritoDiminuir recuoAumentar recuoCor da fonteCor de fundoAlinhamentoLimparInserir linkImagemFórmula

Para escrever sua resposta aqui, entre ou crie uma conta

User badge image

Outros materiais