Buscar

APOL 1 LOGICA PROGRAMAVEL-convertido

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes

Faça como milhares de estudantes: teste grátis o Passei Direto

Esse e outros conteúdos desbloqueados

16 milhões de materiais de várias disciplinas

Impressão de materiais

Agora você pode testar o

Passei Direto grátis

Você também pode ser Premium ajudando estudantes
Você viu 3, do total de 3 páginas

Prévia do material em texto

Apol 1 
Questão 1/5 - Lógica Programável 
A primeira estrutura básica de um dispositivo de lógica programável possuía tanto o plano AND como o plano OR configuráveis. 
Esta estrutura é chamada de: 
Nota: 0.0 
 
A PAL 
 
B LAP 
 
C PLA 
Aula 1 - Tema 1 
 
D ALP 
 
E LPA 
 
Questão 2/5 - Lógica Programável 
Os dispositivos CPLDs são uma evolução dos SPLDs. 
A estrutura mais básica de um CPLD corresponde à um elemento PAL (ou GAL) associado a circuitos adicionais em sua saída, 
incluindo um registrador e multiplexadores. Esta estrutura é chamada de: 
Nota: 0.0 
 
A Microcélula 
 
B Macrocélula 
Aula 1 - Tema 2 
 
C LUT 
 
D LE 
 
E PIA 
 
Questão 3/5 - Lógica Programável 
A linguagem HDL permite descrever circuitos digitais. 
A linguagem de descrição de hardware difere das linguagens de programação de software, pois inclui um meio de descrever: 
Nota: 0.0 
 
A a tensão de nível alto e a corrente de entrada 
 
B o tempo de propagação e a capacidade de corrente 
 
C o tempo de propagação e a intensidade de sinais 
Aula 1 - Tema 3 
 
D a tensão de alimentação e a intensidade de sinais 
 
E a capacitância das portas e a intensidade de sinais 
 
Questão 4/5 - Lógica Programável 
Para iniciar um código em VHDL, primeiramente especificam-se as bibliotecas e pacotes, se necessário, e em seguida define-se 
a entidade de projeto. 
Com a palavra-chave da linguagem PORT definem-se as portas, cujos 4 modos possíveis são: 
Nota: 20.0 
 
A IN, OUT, INOUT, USER 
 
B IN, OUT, BUFFER, RTL 
 
C IN, OUT, INOUT, BUFFER 
Você acertou! 
Rota2 – Tema1 
 
D INOUT, OUT, BUFFER, WORK 
 
E IN, INOUT, OUT, STD 
 
Questão 5/5 - Lógica Programável 
Todas as classes de objetos em VHDL devem ser declaradas segundo um tipo definido. 
Os 2 tipos do padrão IEEE 1164, que podem assumir 9 valores, são: 
Nota: 20.0 
 
A BIT e BIT_VECTOR 
 
B STRING e BIT_VECTOR 
 
C STD_LOGIC e BIT_VECTOR 
 
D STD_LOGIC e STD_LOGIC_VECTOR 
Você acertou! 
Rota2 – Tema2 
 
E BIT e STD_LOGIC_VECTOR

Continue navegando