Buscar

PA74-16-edited

Prévia do material em texto

Projeto de Oscilador em Anel com Técnicas de
Mitigação aos Efeitos de TID
Agord de Matos Pinto Júnior
Raphael Ronald Noal Souza
CTI Renato Archer
Campinas-SP
agord.pinto@cti.gov.br
raphael.souza@cti.gov.br
Yuzo Iano
Leandro Tiago Manera
Unicamp / FEEC
Campinas-SP
yuzo@decom.fee.unicamp.br
manera@dsif.fee.unicamp.br
Cassia Maria Chagas
Saulo Finco
CTI Renato Archer
Campinas-SP
Telefone: (19) 3746-6246
Fax: (19) 3746-6028
Resumo—Este trabalho apresenta as características técnicas de
implementação para um oscilador controlado por tensão (Voltage
Controlled Oscillator - VCO) com arquitetura em anel através de
técnicas de mitigação dos efeitos de Dose Total Ionizante (Total
Ionizing Dose - TID). Através de ambiente de desenvolvimento
Cadence Virtuoso Analog Design Enviroment (ADE), o projeto foi
implementado em nível de layout por meio de tecnologia CMOS
UMC 0.18µm a partir de componentes de geometria fechada
segundo o padrão DARE (Design Against Radiation Effects).
Resultados obtidos através de simulação indicam consumo PDC
= 10.8W , faixa de frequência de operação ∆FOut = 860MHz,
ruído de fase PN@1M = −107.8dBC/Hz, e variação máxima
de 15% na curva de sintonia em função da temperatura.
I. INTRODUÇÃO
Como bloco fundamental em muitos sistemas eletrônicos
[1], osciladores tem sido empregados em aplicações como
circuitos de recuperação de dados e de clock, circuitos ge-
radores de clock, e circuitos de modulação e demodulação de
frequência em vários sistemas de comunicação [2]. Com o
rápido desenvolvimento da indústria de comunicação sem fio,
mais canais disponíveis são exigidos, ocorrendo um intervalo
calculado entre os canais adjacentes para aumento de efici-
ência espectral. Dentro deste contexto, as comunicações por
satélite ganharam uma posição de destaque, devido ao seu uso
em serviços como localização, navegação, radar, e sistemas
meteorológicos.
Entretanto, o sinal transmitido sofre distorções em função de
interferências externas, bem como em função de imperfeições
do próprio canal de transmissão. Além disso, ruídos e sinais
interferentes (que se originam de outras fontes) são acrescidos
à saída do canal, resultando no sinal recebido, o qual é
uma versão corrompida do sinal transmitido [3]. Como fator
complementar, o escalonamento da tecnologia CMOS conduz
a um aumento de sensibilidade de dispositivos eletrônicos aos
efeitos da radiação ionizante que pode induzir à variação de
parâmetros elétricos e à consequente degradação de desempe-
nho do dispositivo [4], o que estabelece uma demanda pelo
desenvolvimento e aplicação de técnicas de projeto para a
mitigação destes efeitos.
Sintetizadores de frequência baseados em arquitetura PLL
(Phase Locked Loop) vem desempenhando um papel impor-
tante em front-ends de RF e um dos gargalos de projeto
encontra-se no VCO [5]. Um requisito crítico é a estabilidade
do termo de ganho (KV CO), que deve ter pequenas variações.
Considerando este conjunto de conceitos de referência e
compromissos operacionais inerentes à radiação, este trabalho
descreve as características de implementação de um VCO
através de arquitetura em anel com três células de atraso auto-
polarizadas. O projeto foi desenvolvido e caracterizado em
nível de layout em ambiente Cadence Analog Design Environ-
ment (ADE), por meio da tecnologia CMOS UMC 0.18µm, de
acordo com padrão DARE (Design Against Radiation Effects).
Desta forma, a seção II apresenta uma descrição geral
dos efeitos cumulativos da radiação ionizante sobre estruturas
semicondutoras, a seção III relaciona técnicas de mitigação
aplicáveis dentro do contexto do fluxo de projeto, a seção IV
descreve as características construtivas da estrutura proposta
para o VCO, a seção V apresenta os resultados de caracteri-
zação em nível de layout e, por fim, a seção VI apresenta as
conclusões finais.
II. RADIAÇÃO IONIZANTE
O ambiente de radiação espacial é danoso para dispositivos
eletrônicos, a partir da ação de fontes ionizantes como ativi-
dade solar, raios cósmicos galácticos (RCGs) e os cinturões de
Van Allen. O sol lança periodicamente partículas carregadas,
principalmente prótons, em ejeções de massa coronal e em
erupções solares, o que ocasiona um aumento na densidade do
fluxo de partículas energéticas. Os raios cósmicos galácticos
que se originam de fora do sistema solar consistem em íons
pesados excessivamente energéticos. Partículas carregadas,
como elétrons e prótons são aprisionados no campo magnético
da Terra, formando o assim denominado cinturão de Van
Allen. O cinturão engloba a Anomalia Magnética do Atlântico
Sul (AMAS), que representa a parte que mais se aproxima
da superfície terrestre. Como consequência, a intensidade da
radiação intensifica-se nessa região facilitando a penetração de
partículas carregadas [6] [7].
A. Efeitos da Radiação em Dispositivos CMOS
O escalonamento de dispositivos semicondutores tende a
aumentar a sensibilidade a determinados efeitos induzidos por
radiação ionizante. Por outro lado, a utilização de dispositivos
2016 Brazilian Technology Symposium
ISSN 2447-8326. V.1. © 2016 BTSYM
fabricados com dielétricos mais espessos e menores níveis de
dopagem pode tornar as partes de alta tensão mais suscetíveis
ao dano por TID do que as contrapartes de baixa tensão [8]
[9]. Vários trabalhos mostram que os principais mecanismos
que geram a degradação do desempenho do MOSFET são o
acúmulo de cargas no óxido de porta SiO2 e o armadilhamento
de cargas na interface Si-SiO2 [10] [11].
B. Efeitos Cumulativos
A exposição à radiação produz mudanças relativamente
estáveis e a longo prazo no dispositivo e em circuitos, o
que pode resultar na degradação paramétrica ou falha fun-
cional. Os efeitos de TID afetam principalmente camadas
isolantes, que podem resultar em armadilhas de portadores de
cargas no óxido SiO2 ou na interface Si-SiO2. Efeitos não-
ionizantes geram perdas de energia que resultam em danos
por deslocamento (DD) e defeitos em materiais isolantes e
semicondutores. Estes defeitos reduzem o tempo de vida e
afetam a mobilidade, induzindo o surgimento de correntes de
fuga.
1) Danos por Deslocamento (DD): surgem quando a radia-
ção provoca um deslocamento de átomos na rede cristalina. Ele
é gerado por partículas energéticas como nêutrons, prótons,
elétrons e íons pesados [12].
Figura 1. Processo de danos por deslocamento, após colisão de partículas.
De acordo com a figura 1, quando uma partícula desloca um
átomo de sua posição na rede, deixa uma posição vazia (vaga).
O átomo deslocado pode parar em uma posição intersticial
(interstício), conforme ilustrado na figura 1. Esses dois defeitos
podem dar origem a defeitos secundários mais estáveis ficando
presos por átomos de impureza [13] [14]. Como resultado, o
surgimento de novos níveis de energia pode levar à geração
ou recombinação de pares elétron-lacuna (electron-hole pairs
- EHP). A geração de EHPs pode resultar em aumento da
corrente de fuga, enquanto a recombinação pode levar à
diminuição da vida útil do dispositivo [15].
2) Dose Total Ionizante (TID): a geração de EHPs através
de radiação ionizante é a principal causa de danos por radiação
em dispositivos CMOS. A quantidade de EHPs gerada é dire-
tamente proporcional à quantidade de energia transferida para
o material alvo [16], conforme as etapas a seguir ilustradas na
figura 2.
Figura 2. Processo de efeitos de TID, após colisão de partículas.
• Geração de pares elétron-lacuna: ocorre quando a radi-
ação ionizante interage com o material sólido. Para o
dispositivo CMOS, os materiais mais sensíveis são os
dielétricos (SiO2) que estão na proximidade imediata de
um semicondutor subjacente.
• Recombinação de pares elétron-lacuna: após a geração
de EHPs, uma fração dos pares se recombina. O tempo
disponível para este processo de recombinação é muito
pequeno, uma vez que os elétrons possuem uma mobili-
dade muito elevada e são rapidamente varridos para fora
do óxido (ou outro dielétrico).
• Transporte de lacunas (Hole transport): uma fração das
lacunas que não se recombinampode viajar para a
interface Si-SiO2.
• Armadilhamento de cargas no óxido (Hole trapping): ar-
madilhas em lacunas perto da interface Si-SiO2 surgem
porque existe uma região de transição onde a oxidação
não está completa. Esta região contém excesso de Si, ou
vagas de oxigênio [17].
III. TÉCNICAS DE MITIGAÇÃO
Os efeitos degradantes da radiação ionizante nos circuitos
eletrônicos podem ser atenuados por meio de técnicas de
mitigação, de acordo com a classificação geral a seguir:
endurecimento à radiação via processo (Radiation Hardening
by Process - RHBP) e endurecimento à radiação via projeto
(Radiation Hardening by Design - RHPD). O RHBP apresenta
desvantagens como baixo rendimento, custos de fabricação
mais elevados, e instabilidade do processo [18]. Considerando
estas desvantagens, o RHPD é a técnica mais utilizada para a
concepção de estruturas tolerantes à radiação.
O acúmulo de cargas na interface afeta parâmetros elétricos
como a curva de sublimiar (subthreshold slope), a mobilidade
(µ0) e a tensão de limiar (VTH ), induzindo a uma elevação
2016 Brazilian Technology Symposium
ISSN 2447-8326. V.1. © 2016 BTSYM
da corrente de fuga que representa uma fonte de dissipação
de energia em processos nanométricos. Desta forma, essa
alteração de parâmetros elétricos do dispositivo pode resultar
em falha funcional precoce. Com o objetivo de minimizar a
corrente de fuga, técnicas de mitigação de TID usualmente
empregam transistores de geometria fechada (Enclosed Layout
Transistor - ELT), anéis de proteção (guard rings), bem como
a manipulação de área (W/L) do transistor.
IV. OSCILADOR CONTROLADO POR TENSÃO (VCO)
O oscilador controlado por tensão (VCO) consiste em uma
estrutura oscilante cuja frequência de saída é controlada por
um sinal de entrada através de uma função de correlação
adequada ao objetivo da aplicação.
Figura 3. VCO: Arquitetura em Anel.
Um número de células de atraso conectadas em um loop
de realimentação positiva ou regenerativa compõe a base
principal para estruturas de oscilador em anel [2]. Células
de atraso diferenciais são amplamente utilizadas em função
de um nível mais elevado de rejeição de modo comum a
distúrbios no barramento de alimentação e de ruído induzido
por substrato [19]. Neste contexto, a topologia diferencial pode
ser ainda classificada como totalmente diferencial ou pseudo-
diferencial, de acordo com a presença ou ausência de uma
fonte de corrente [20]. Assim, a implementação do oscilador
em anel envolve uma seleção adequada da topologia da célula
de atraso, bem como da arquitetura de interconexão. Em geral,
um número variável de 2 a 4 estágios são comumente aplicados
para um projeto típico em sistemas de comunicação [2].
O projeto descrito nesse trabalho considera uma estrutura
em anel composta por 3 células de atraso, conforme a figura
3. A célula de atraso emprega uma topologia diferencial (fully
differential topology) com elementos de redundância para a
implementação de robustez à ocorrência de TID. O método
para controle de frequência envolve injeção de corrente CC a
partir de tensão aplicada aos dispositivos pMOS (destacados
com contorno em vermelho), conforme indicado através da
figura 4.
O conjunto de pares diferenciais estão associados ao ca-
minho do sinal. Os pares cross-coupled nMOS e pMOS
(transistores internos/centrais) fornecem uma estrutura para
compensação de variações paramétricas bem como variáveis
de projeto complementares para dimensionamento de parâme-
tros de desempenho (comportamento dinâmico, nível CC de
saída e frequência de oscilação).
Através da aplicação de análise linear, o comportamento
dinâmico (ganho CC e resposta em frequência) do oscilador
em anel com N estágios pode ser representado pela função de
Figura 4. VCO: Topologia da célula de atraso.
transferência de primeira ordem, considerando o sistema com
malha aberta:
H(s)
AN0
(1 + Sω0 )
N
(1)
Neste caso, A0 indica o ganho CC de cada célula de atraso,
ω0 denota a largura de banda e N indica o número de células de
atraso. Considerando o critério de Barkhausen, a partir de (1)
a frequência de oscilação do sistema (fosc) pode ser modelada
através de (2).
fosc = f0 tan(
π
N
) (2)
Considerando células de atraso de primeira ordem, um
número ímpar de estágios implica em um número ímpar
de inversões, para assegurar o atendimento à condição de
oscilação.
De uma forma complementar, considerando a operação
em regime permanente, a frequência de oscilação pode ser
expressa como função do retardo médio de propagação (τ ) de
cada estágio, de acordo com (3).
fosc =
1
2Nτ
(3)
V. RESULTADOS
Através de análise transiente, o sistema foi caracterizado
considerando as formas de onda de saída para 2 valores
de tensão de controle. Desta forma, a figura 5 indica a
frequência mínima (FMin = 174.5MHz para VC = 0V ) na
saída da estrutura em anel (linha azul), bem como na saída
do módulo de interconexão (linha vermelha). Como condição
complementar, a Figura 6 indica a frequência máxima de
operação (FMax = 1.035GHz para tensão de controle VC =
1.5V ), conforme as condições previamente ilustradas através
da figura 5.
A partir de caracterização da função crescente não-linear
de correlação da frequência de saída fOut com a tensão de
2016 Brazilian Technology Symposium
ISSN 2447-8326. V.1. © 2016 BTSYM 
Figura 5. Formas de onda de saída - frequência miníma.
Figura 6. Formas de onda de saída - frequência máxima.
controle VC de entrada, o KV CO indica a sensibilidade de
sintonia do VCO, conforme a figura 7, e pode ser modelado
através de (4), considerando uma aproximação linear.
KV CO =
fMax − fMin
VMax − VMin
(4)
Figura 7. Ganho - Sensibilidade (KV CO).
Em um circuito oscilador com arquitetura em anel, qualquer
fonte pode contribuir potencialmente para a presença de ruído.
Como fonte principal, o ruído térmico é produzido pelo aque-
cimento dos condutores e semicondutores do próprio sistema
(agitação dos elétrons devido ao estado térmico que gera
sinais elétricos aleatórios). Além disso, a presença de cargas
armadilhadas na interface entre dielétrico e semicondutor gera
uma fonte adicional de ruído que varia inversamente com a
frequência [21] [22]. Todo oscilador apresenta instabilidade
nos parâmetros de oscilação, e esse fenômeno é mensurado
através do ruído de fase (PN).
Figura 8. Mediçao de Phase Noise.
A presença de variações aleatórias na fase de um sinal se
manifesta como ruído de fase e, dentro desse contexto, a figura
8 caracteriza o PN em relação à frequência de deslocamento
∆f (considerando uma faixa de frequência predefinida f =
10Hz até 10MHz), onde se obteve o valor de PN@1MHz =
−107.8dBc/Hz.
A literatura relata que a ionização induzida por TID altera a
tensão de limiar (VTH ) do dispositivo [23]. Desta forma, um
teste aproximado para verificar a confiabilidade em relação
aos efeitos ionizantes foi emulado em ambiente de simulação
através da variação de temperatura. Considerando a operação
do VCO, este efeito foi verificado através da caracterização
da curva de sintonia KV CO para três diferentes condições
de temperatura, conforme indicado através da figura 9. A
verificação de resultados considera um percentual de 10% a
15% como variação aceitável no entorno da curva padrão de
sensibilidade do VCO [24]–[30].
Figura 9. KV CO para diferentes temperaturas simulando efeito TID.
Como caracterização complementar, a figura 10 indica a
sensibilidade da frequência mínima de saída à variação de tem-
peratura, considerando uma faixa ∆T = 110◦ (TMin = 20◦
e TMax = 130◦). Desta forma, a variação verificada para o
intervalo considerado de temperatura representa uma emulação
dos efeitos de TID.
O projeto utiliza componentes de geometria fechada (ELT
- Enclosed Layout Transistor) para reduzir a degradação de
parâmetros elétricos [31] [32], e o nível de corrente de fuga
induzida por TID. De acordo com essa técnica de layout, o
terminal de porta é envolvido pelo poli-silício, possibilitando
2016 Brazilian Technology Symposium
ISSN 2447-8326. V.1. © 2016 BTSYM
Figura10. Limiar tolerável medido através da variação de temperatura.
a eliminação das regiões ativas. A característica de geometria
fechada permite ainda a manipulação das dimensões do com-
ponente segundo uma dimensão de comprimento (L) e duas
dimensões de largura (Inner widht (B) e Inner height (H)),
o que permite maior flexibilidade no ajuste das dimensões
e do desempenho elétrico do dispositivo. A manipulação
de área (W/L) permitiu a inserção de mais contatos para
assegurar a minimização da resistência em série e a redução
da probabilidade de rompimento do metal [33].
Figura 11. Layout da Célula de Atraso.
O uso de fingers permite a redução de componentes para-
sitas e a consequente aumento de velocidade do dispositivo
a partir da redução da resistência série da porta (redução da
constante de tempo RC) [34] [35].
O uso de anéis de guarda (guard rings) em grupos de
componentes (nMOS e pMOS) evita a criação de caminhos
elétricos adicionais a partir do desacoplamento de transistores
bipolares parasitas ou tiristores. A disposição simétrica de
componentes no layout elimina assimetrias na distribuição de
tensão e corrente. O casamento de componentes e elementos
dummy reduz problemas inerentes à variação de processo
durante a fabricação. Por fim, barramentos de alimentação e
terra compartilhados por todos os componentes do oscilador
permitem o isolamento do circuito e aumentam a imunidade
a ruído.
Desta forma, a figura 11 indica a implementação física da
célula de atraso e a figura 12 representa a conexão física das
3 células de atraso para a composição da estrutura em anel.
Figura 12. Layout do VCO (Oscilador em Anel).
Tabela I
RESULTADOS FINAIS DO VCO
Caracterização Valores Obtidos Unidades
Corrente CC 6 mA
Frequência Mínima (fMin) 174.5 MHz
Frequência Máxima (fMax) 1.035 GHz
Capacitância (CIn) 6 pF
Ruído de Fase (PN@1MHz) −107.8 dBC/Hz
VI. CONCLUSÃO
O escalonamento de tecnologia CMOS, que tem resultado
em redução de área em função da sua proporcionalidade com
os parâmetros de dispositivo, bem como a demanda crescente
de aplicações aerespaciais tem conduzido ao desenvolvimento
de soluções de robustez para dispositivos e sistemas eletrô-
nicos aos efeitos induzidos pela radiação ionizante. Neste
contexto, este trabalho descreve as características de imple-
mentação de um VCO com arquitetura em anel (a partir de
3 células de atraso diferenciais auto-polarizadas) através de
técnicas de mitigação dos efeitos de TID, a partir de métodos
2016 Brazilian Technology Symposium
ISSN 2447-8326. V.1. © 2016 BTSYM
aplicados em nível de dispositivo (geometria de componente),
em nível de bloco (topologia da célula de atraso), bem como
em nível de implementação física (técnicas de layout).
Considerando o ambiente de desenvolvimento e simulação,
um método de emulação dos efeitos de TID foi aplicado a par-
tir do deslocamento da tensão de limiar induzido pela variação
de temperatura (em substituição aos testes de irradiação).
A partir da caracterização da curva de sensibilidade
(KV CO), os resultados obtidos indicam uma variação máxima
de frequência segundo um limiar de 15% em relação à
temperatura, que varia de forma não-linear com o aumento
da dose total ionizante (TID), o que demonstra a tolerância
da implementação proposta a partir do critério de verificação
utilizado como referência.
AGRADECIMENTOS
Os autores agradecem o apoio financeiro das agências
FINEP, CAPES e do CNPq, e em especial ao Centro de
Tecnologia da Informação Renato Archer, o qual por inter-
médio do projeto CITAR (Circuitos Integrados Tolerantes a
Radiação), forneceu recursos e infra-estrutura para a realização
deste trabalho.
REFERÊNCIAS
[1] G. Jovanovic, M. Stojcev, and Z. Stamenkovic, “A CMOS voltage
controlled ring oscillator with improved frequency stability,” Scientific
Publications of the State University of Novi Pazar, Series A: Applied
Mathematics, Informatics and mechanics, vol. 2, no. 1, pp. 1–9, 2010.
[2] J. Jalil, M. B. I. Reaz, and M. A. M. Ali, “CMOS differential ring
oscillators: review of the performance of CMOS ROs in communication
systems,” IEEE microwave magazine, vol. 14, no. 5, pp. 97–109, 2013.
[3] S. Haykin, Sistemas de comunicação. Bookman, 2004.
[4] M. C. Casey, B. L. Bhuva, S. A. Nation, O. A. Amusan, T. D. Loveless,
L. W. Massengill, D. McMorrow, and J. S. Melinger, “Single-event
effects on ultra-low power CMOS circuits,” in 2009 IEEE International
Reliability Physics Symposium. IEEE, 2009, pp. 194–198.
[5] F. Agnelli, G. Albasini, I. Bietti, A. Gnudi, A. Lacaita, D. Manstretta,
R. Rovatti, E. Sacchi, P. Savazzi, F. Svelto et al., “Wireless multi-
standard terminals: system analysis and design of a reconfigurable RF
front-end,” IEEE Circuits and Systems Magazine, vol. 6, no. 1, pp. 38–
59, 2006.
[6] M. A. C. Garcia and R. M. F. da Silva, “Estudo dos efeitos das explosões
solares na região da anomalia magnética do atlântico sul (AMAS).”
[7] J. T. Borba, O. J. Pereira, and Â. A. Leithold, “Observation of radio
waves in the region of the south atlantic magnetic anomaly.”
[8] H. Barnaby, “Total-ionizing-dose effects in modern CMOS technolo-
gies,” IEEE Transactions on Nuclear Science, vol. 53, no. 6, pp. 3103–
3121, 2006.
[9] J. Schwank, “Total dose effects in MOS devices,” in IEEE NSREC Short
Course, 2002, pp. 1–123.
[10] H. L. Hughes and J. M. Benedetto, “Radiation effects and hardening of
MOS technology: devices and circuits,” IEEE Transactions on Nuclear
Science, vol. 50, no. 3, pp. 500–521, 2003.
[11] R. Baumann et al., “The impact of technology scaling on soft error
rate performance and limits to the efficacy of error correction,” in
International Electron Devices Meeting. IEEE; 1998, 2002, pp. 329–
332.
[12] C. J. Dale and P. W. Marshall, “Displacement damage in Si imagers for
space applications,” in Medical Imaging’91, San Jose, CA. International
Society for Optics and Photonics, 1991, pp. 70–86.
[13] G. Hopkinson, C. Dale, and P. Marshall, “Proton effects in charge-
coupled devices,” IEEE transactions on nuclear science, vol. 43, no. 2,
pp. 614–627, 1996.
[14] P. W. Marshall, C. J. Dale, and E. A. Burke, “Proton-induced displace-
ment damage distributions and extremes in silicon microvolumes charge
injection device,” IEEE Transactions on Nuclear Science, vol. 37, no. 6,
pp. 1776–1783, 1990.
[15] J. R. Srour and J. M. McGarrity, “Radiation effects on microelectronics
in space,” Proc. IEEE;(United States), vol. 76, no. 11, 1988.
[16] T. Oldham, “Analysis of damage in MOS devices for several radiation
environments,” IEEE Transactions on Nuclear Science, vol. 31, pp.
1236–1241, 1984.
[17] T. R. Oldham and F. McLean, “Total ionizing dose effects in MOS
oxides and devices,” 2003.
[18] H. J. Barnaby, M. L. McLain, I. S. Esqueda, and X. J. Chen, “Modeling
ionizing radiation effects in solid state materials and CMOS devices,”
IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 56,
no. 8, pp. 1870–1883, 2009.
[19] T. Tong, Z. Wenhua, J. M. Hvolgaard, and T. Larsen, “A 180nm CMOS
low power ring VCO with 1GHz tuning range for 3-5GHz FM-UWB
applications,” in 2006 10th IEEE Singapore International Conference
on Communication Systems. IEEE, 2006, pp. 1–5.
[20] C. Zhang, Z. Li, J. Fang, J. Zhao, Y. Guo, and J. Chen, “A novel high-
speed cmos fully-differentical ring VCO,” in Solid-State and Integrated
Circuit Technology (ICSICT), 2014 12th IEEE International Conference
on. IEEE, 2014, pp. 1–3.
[21] N. Zanolla, D. Siprak, M. Tiebout, P. Baumgartner, E. Sangiorgi, and
C. Fiegna, “Reduction of RTS noise in small-area MOSFETs under
switched bias conditions and forward substrate bias,” IEEE Transactions
on Electron Devices, vol. 57, no. 5, pp. 1119–1128, 2010.
[22] R. V. Della Giustina, “Estudo e simulação de ruído em circuitos e
dispositivos MOS,” Master’s thesis, UFRGS - Universidade Federal do
Rio Grande do Sul, 2012.
[23] H. Boesch, F. McLean, J. Benedetto, J. McGarrity, and W. Bailey,
“Saturation of threshold voltage shift in MOSFET’s at high total dose,”
IEEE Transactionson Nuclear Science, vol. 33, no. 6, pp. 1191–1197,
1986.
[24] V. Burkhay, A. Rocke, G. Magistrati, G. Furano, and F. Guettache.
(2014, Julho) Radiation test of point-of-load DC-DC converter and
extended common mode LVDS componentes. Space IC GmbH. [Online].
Available: www.space-ic.com/files/space/pdf/Space_IC_AMICSA2014.
pdf
[25] V. Burkhay, A. Rocke, G. Magistrati, G. Furano, F. Guettache,
and M. Reuter. (2014, Setembro) Radiation tested extended common
mode LVDS componentes. Space IC GmbH. [Online]. Available:
www.space-ic.com/files/space/pdf/Space_IC_SpaceWire2014.pdf
[26] J. Osborn, R. Lacoe, D. Mayer, and G. Yabiku, “Total dose hardness of
three commercial CMOS microelectronics foundries,” IEEE Transacti-
ons on Nuclear Science, vol. 45, no. 3, pp. 1458–1463, 1998.
[27] G. J. Schlenvogt, H. J. Barnaby, J. Wilkinson, S. Morrison, and L. Tyler,
“Simulation of TID effects in a high voltage ring oscillator,” IEEE
Transactions on Nuclear Science, vol. 60, no. 6, pp. 4547–4554, 2013.
[28] H. H. Rossi and W. Rosenzweig, “A device for the measurement of
dose as a function of specific ionization 1,” Radiology, vol. 64, no. 3,
pp. 404–411, 1955.
[29] J. Boag, “Ionization measurements at very high intensities—part i,” The
British journal of radiology, vol. 23, no. 274, pp. 601–611, 1950.
[30] G. Meisels, “Gas-phase dosimetry by use of ionization measurements,”
The Journal of Chemical Physics, vol. 41, no. 1, pp. 51–56, 1964.
[31] W. J. Snoeys, T. P. Gutierrez, and G. Anelli, “A new NMOS layout
structure for radiation tolerance,” IEEE Transactions on Nuclear Science,
vol. 49, no. 4, pp. 1829–1833, 2002.
[32] S. Binzaid, J. O. Attia, and R. D. Schrimpf, “Enclosed layout transistor
with active region cutout,” in Region 5 Conference, 2008 IEEE. IEEE,
2008, pp. 1–5.
[33] H.-H. K. Lee, U. S. Inan, I. Linscott, and C. Kozyrakis, Circuit
and Layout Techniques for Soft-error-resilient Digital CMOS Circuits.
Stanford University, 2011.
[34] D. Clein, CMOS IC layout: concepts, methodologies, and tools. New-
nes, 1999.
[35] R. L. Maziasz and J. P. Hayes, Layout minimization of CMOS cells.
Springer Science & Business Media, 2012, vol. 160.
2016 Brazilian Technology Symposium
ISSN 2447-8326. V.1. © 2016 BTSYM

Continue navegando