Buscar

Experimento em VHDL

Prévia do material em texto

1 
EXPERIMENTO – CIRCUITO LÓGICO EM VHDL 
Centro Universitário UNINTER 
Curso de Engenharia Elétrica. 
Denis Silva dos Santos 
denis-s-santos@hotmail.com, RU -1204625 
 
 
Resumo. O relatório do experimento buscou fazer uma analise de circuitos combinacionais e 
programação em VHDL com utilização do programa Quartus II em também com o mesmo circuito 
lógico montado em protoboard em TTL com objetivo de agilizar e compreender os principais conceitos 
vistos na disciplina de Lógica Programável. 
 
Palavras chave: (Programação VHDL, Circuito, Quartus II ) 
 
Introdução 
 Em uma linguagem simples, a lógica de programação é um conjunto de regras e padrões que 
precisamos aplicar para criar códigos (linguagem de programação) que serão interpretados e executados por 
um computador. Em resumo, esses codigos especificos na qual chamamos de linguagem de maquina, são 
interações de comandos executados atravem de circuitos lógicos o qual acontece uma entrada de um 
comando, onde são processados pelo computador e posteriormente ocorre a saída como uma resposta ao 
comando de entrada. 
 
Neste relatório o intuito é entender e aplicar os conhecimentos e funcionamentos de circuitos 
lógicos combinacionais, tanto suas estruturas como programação. Através de um circuito esquemático em 
desenho bem como a montagem em protoboard. Com a utilização da ferramenta Quartus II foi desenvolvido o 
mesmo em linguagem VHDL e reproduzido em dispositivos FPGA. Através desses modelos buscamos o 
entendimento e os conceitos na pratica sobre disciplina de Lógica Programável. 
 
 
 
 
 
 
 
Procedimento Experimental 
 2 
 
O circuito lógico a ser projetado em FPGA e montado com portas lógicas deve ser escolhido entre os 
definidos pelas expressões lógicas abaixo: 
 
Opção 1: S = (A AND (NOT B)) OR ((NOT A) AND B) 
 Opção 2: S = ((NOT A) OR (NOT B)) AND (NOT C) 
Opção 3: S = NOT ((A AND B) OR C) 
Opção 4: S = (A AND B) OR (A AND C) OR (B AND C) 
 
Para esta atividade prática foi adotado a opção 4 para ser montado em protoboard em TTL 
e posteriormente utilizar kit FPGA, através da programação em linguagem VHDL no Quartus II. 
 
Resultados 
O circuito lógico a ser montado para esta atividade prática foi desenhado no software Simulide, 
que permite a simulação dos sinais (não foi possível a utilização do MultiSim) 
 
Conforme o diagrama esquemático as chaves de acionam as entradas A, B e C conectadas a 
tensão de alimentação em 5V, bem como os resistores R1, R2 e R3 (ambos de 10KΩ) determinam os níveis 
dos sinais de entrada e o sinal de saída é indicado pelo LED. 
 
A expressão matemática do circuito lógico da opção 4 é dada por: 
 
S = (A AND B) OR (A AND C) OR (B AND C) 
 
 
 
 3 
 
Figura 1 – Diagrama do Circuito em Simulidi 
 
A tabela verdade permite visualizar o estado da saída S que é determinada pelas entradas A, B 
e C do circuito. 
 
 
Tabela 1 - Tabela verdade 
TABELA VERDADE 
A B C S 
0 0 0 0 
0 0 1 0 
0 1 0 0 
0 1 1 1 
1 0 0 0 
1 0 1 1 
1 1 0 1 
1 1 1 1 
 
 
 
 
 
 4 
Logo abaixo temos a montagem em protoboard do circuito, possibilitando fazer as 
medições com auxílio do multímetro. Com base no diagrama da figura 1 o circuito foi montado e é 
ilustrado nas imagens seguinte: 
 
 Nestas imagens temos o teste de tensão do circuito, ajustado em 5V, juntamente com o teste 
de chaveamento em funcionamento como pode-se notar com o led respondendo a interação dos comandos.
 
Figura 2 - Circuito em protoboard 
 
 5 
 
Figura 3 - Teste com Analisador Lógico 
 
Figura 4 - Teste com Analisador Lógico 
 
Figura 5 - Resposta do sinal 
 As figuras 3, 4,e 5 mostram as repostas dos impulsos gerados manualmente nos chaveamen-
tos do circuito em protoboard, apenas o canal 11 mostrou mudança de estado durante o captura do analisa-
dor lógico , os demais mantiveram seus estados de sinais. 
 6 
 
Figura 6 - Circuito montado em teste 
 
 Os testes utilizando o analisador lógico foram conclusivos para verificar a operacionalidade do 
circuito em protoboard. 
 
Figura 7 - Circuito com analisador lógico 
 Nesta figura 7 temos o teste do circuito juntamente com a placa Cyclone IV para a configura-
ções do próximo passo do experimento. Somente as chaves e o Led estão em funcionamento nesta etapa. 
 7 
 
Figura 8 - programação em Altera ModelSim 
 
 Na figura 8, temos a compilação da programação em VHDL, utilizando o ModelSim Altera, 
pode-se notar que a programação foi efetuada com sucesso. Quando utilizando o mesmo código de 
programação para o Quartus II ele retorna com erro conforme a tela abaixo. 
 
 
Figura 9 - Tela do Quartus II 
 
 8 
 
Figura 10 - Tela de erro de compilação 
 Mesmo com as verificações e mudanças não houve alteração do modo de simulação. A falta 
de familiaridade com o sistema pesou e muito na continuação do experimento, ficando assim insatisfatório 
para a conclusão da segunda etapa em FPGA. 
 
 
Conclusão 
 O experimento ficou incompleto, talvez por inexperiência, prática ou erros não localizados em 
tempo hábil. A montagem do circuito permitiu colocar em pratica os estudos relacionados as aulas teórica, 
mostrando assim as dificuldades que a pratica apresenta na condução de projetos. Alguns intemperes ao 
longo da atividade pratica ocasionou na condução do experimento, como ausência de computadores pessoas 
e acesso aos demais no ambiente de trabalho. No entanto, o software Quartus II mostrou ser uma ferramenta 
exigente em pratica e familiaridade. Apesar de incompleto na segunda parte da atividade, o experimento foi 
de suma importância para aprender com o a lógica de programação, mesmo com os erros, de como lhe dar 
com essa ferramenta e sua importância na elaboração de projetos lógicos e VHDL. 
 
Referências 
COSTA, C. Projetos de circuitos digitais com FPGA. 3ª Ed. São Paulo: Érica, 2014.  
D’AMORE, Roberto. VHDL : descrição e síntese de circuitos digitais. 2.ed. Rio de Janeiro : LTC, 2012 
https://www.youtube.com/watch?v=MqWs7XE2Jo0 
https://www.youtube.com/watch?v=7wlNn-
H5v5A&t=3421s&pp=ygUdY29tbyB1dGlsaXphciBxdWFydHVzIGN5Y2xvbmU%3D 
 
https://www.youtube.com/watch?v=MqWs7XE2Jo0
https://www.youtube.com/watch?v=7wlNn-H5v5A&t=3421s&pp=ygUdY29tbyB1dGlsaXphciBxdWFydHVzIGN5Y2xvbmU%3D
https://www.youtube.com/watch?v=7wlNn-H5v5A&t=3421s&pp=ygUdY29tbyB1dGlsaXphciBxdWFydHVzIGN5Y2xvbmU%3D